summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2018-12-30 19:22:28 +0100
committerCédric Connes2018-12-30 19:29:05 +0100
commit022f7a016d9f5b4fe3152891b5760afa71d194dd (patch)
tree3e542eb84cec756819a07d47a8e76851cb8860e6 /.SRCINFO
parentd70889f713c0bd29e5e57b614c06867f4cffc5e5 (diff)
downloadaur-022f7a016d9f5b4fe3152891b5760afa71d194dd.tar.gz
Update to v1.2.5
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO30
1 files changed, 15 insertions, 15 deletions
diff --git a/.SRCINFO b/.SRCINFO
index b6c635730b79..bc3e88230726 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.2.4
+ pkgver = 1.2.5
pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
@@ -10,22 +10,22 @@ pkgbase = chisel-tunnel
arch = armv7h
arch = aarch64
license = MIT
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.4/README.md
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.4/example/users.json
+ source = README_1.2.5.md::https://raw.githubusercontent.com/jpillora/chisel/1.2.5/README.md
+ source = users_1.2.5.json::https://raw.githubusercontent.com/jpillora/chisel/1.2.5/example/users.json
md5sums = 7ee9b8a209ad46b7e82778d63de4b76e
md5sums = 94a1b56e4b075e50eca71637dca2ba27
- source_i686 = chisel_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_386.gz
- md5sums_i686 = 8290c774509dfaefdca2076ad450c274
- source_x86_64 = chisel_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_amd64.gz
- md5sums_x86_64 = 65e0883c5c7b7f4f3a01239df3d4c121
- source_arm = chisel_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
- md5sums_arm = 4a31c009ea9e62d82078fa6ae477502c
- source_armv6h = chisel_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
- md5sums_armv6h = 4a31c009ea9e62d82078fa6ae477502c
- source_armv7h = chisel_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
- md5sums_armv7h = 4a31c009ea9e62d82078fa6ae477502c
- source_aarch64 = chisel_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
- md5sums_aarch64 = 4a31c009ea9e62d82078fa6ae477502c
+ source_i686 = chisel_1.2.5_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_386.gz
+ md5sums_i686 = 8bdad8c25ad14f2efc3e5db8576891c9
+ source_x86_64 = chisel_1.2.5_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_amd64.gz
+ md5sums_x86_64 = 56578893ba577047a55bf7c01998ef81
+ source_arm = chisel_1.2.5_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
+ md5sums_arm = 82745e7ed9b4e18c339ec245f1dfd141
+ source_armv6h = chisel_1.2.5_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
+ md5sums_armv6h = 82745e7ed9b4e18c339ec245f1dfd141
+ source_armv7h = chisel_1.2.5_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
+ md5sums_armv7h = 82745e7ed9b4e18c339ec245f1dfd141
+ source_aarch64 = chisel_1.2.5_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
+ md5sums_aarch64 = 82745e7ed9b4e18c339ec245f1dfd141
pkgname = chisel-tunnel