summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2018-12-30 19:32:42 +0100
committerCédric Connes2018-12-30 19:32:42 +0100
commit706e7ad2bc1100e9ca83c4b6450308da569b4fb4 (patch)
treeb61ce92c43f8c8354a534da4ca997a778d0a05c7 /.SRCINFO
parent022f7a016d9f5b4fe3152891b5760afa71d194dd (diff)
downloadaur-706e7ad2bc1100e9ca83c4b6450308da569b4fb4.tar.gz
Update to v1.3.0
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO34
1 files changed, 17 insertions, 17 deletions
diff --git a/.SRCINFO b/.SRCINFO
index bc3e88230726..513d83451c59 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.2.5
+ pkgver = 1.3.0
pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
@@ -10,22 +10,22 @@ pkgbase = chisel-tunnel
arch = armv7h
arch = aarch64
license = MIT
- source = README_1.2.5.md::https://raw.githubusercontent.com/jpillora/chisel/1.2.5/README.md
- source = users_1.2.5.json::https://raw.githubusercontent.com/jpillora/chisel/1.2.5/example/users.json
- md5sums = 7ee9b8a209ad46b7e82778d63de4b76e
- md5sums = 94a1b56e4b075e50eca71637dca2ba27
- source_i686 = chisel_1.2.5_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_386.gz
- md5sums_i686 = 8bdad8c25ad14f2efc3e5db8576891c9
- source_x86_64 = chisel_1.2.5_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_amd64.gz
- md5sums_x86_64 = 56578893ba577047a55bf7c01998ef81
- source_arm = chisel_1.2.5_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
- md5sums_arm = 82745e7ed9b4e18c339ec245f1dfd141
- source_armv6h = chisel_1.2.5_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
- md5sums_armv6h = 82745e7ed9b4e18c339ec245f1dfd141
- source_armv7h = chisel_1.2.5_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
- md5sums_armv7h = 82745e7ed9b4e18c339ec245f1dfd141
- source_aarch64 = chisel_1.2.5_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.5/chisel_linux_arm.gz
- md5sums_aarch64 = 82745e7ed9b4e18c339ec245f1dfd141
+ source = README_1.3.0.md::https://raw.githubusercontent.com/jpillora/chisel/1.3.0/README.md
+ source = users_1.3.0.json::https://raw.githubusercontent.com/jpillora/chisel/1.3.0/example/users.json
+ md5sums = 2c4d0434351745668a7ac869d224f445
+ md5sums = b962091a3cf143b8e5b7865c24aa32e6
+ source_i686 = chisel_1.3.0_i686.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_386.gz
+ md5sums_i686 = 07953b0c7cb7a497eecf947fbcdeed2d
+ source_x86_64 = chisel_1.3.0_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_amd64.gz
+ md5sums_x86_64 = 5339a456f759aae0f5fbbe013d73a055
+ source_arm = chisel_1.3.0_arm.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
+ md5sums_arm = 2a7a8aa65395f00116791dd0ee7b8af1
+ source_armv6h = chisel_1.3.0_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
+ md5sums_armv6h = 2a7a8aa65395f00116791dd0ee7b8af1
+ source_armv7h = chisel_1.3.0_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
+ md5sums_armv7h = 2a7a8aa65395f00116791dd0ee7b8af1
+ source_aarch64 = chisel_1.3.0_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
+ md5sums_aarch64 = 2a7a8aa65395f00116791dd0ee7b8af1
pkgname = chisel-tunnel