summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2020-04-01 18:47:50 +0200
committerCédric Connes2020-04-01 18:47:50 +0200
commit7e23afcadca27796e0d9a7d2e2f0c78ec443e68c (patch)
tree18a6c0560a6b2f4b35c496c59f3e5c41d3187efe /.SRCINFO
parent6f3bab0d3803b4208cdcae2e3ebda881db52f866 (diff)
downloadaur-7e23afcadca27796e0d9a7d2e2f0c78ec443e68c.tar.gz
Update to v1.4.0
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO35
1 files changed, 16 insertions, 19 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 697090baf81f..5c3856497827 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,31 +1,28 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.3.1
- pkgrel = 2
+ pkgver = 1.4.0
+ pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
arch = x86_64
- arch = arm
arch = armv6h
arch = armv7h
arch = aarch64
license = MIT
- source = README_1.3.1.md::https://raw.githubusercontent.com/jpillora/chisel/1.3.1/README.md
- source = users_1.3.1.json::https://raw.githubusercontent.com/jpillora/chisel/1.3.1/example/users.json
- md5sums = a64c1a6c1677c0d915bf2d52f33169e6
- md5sums = b962091a3cf143b8e5b7865c24aa32e6
- source_i686 = chisel_1.3.1_i686.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_386.gz
- md5sums_i686 = 78491dc7749ca9519305e399bf9d1e38
- source_x86_64 = chisel_1.3.1_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_amd64.gz
- md5sums_x86_64 = 5de9b51064800dec1762f617fe1c15c4
- source_arm = chisel_1.3.1_arm.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
- md5sums_arm = 8f5a59b2b3d84ff8ed075695a912e0c7
- source_armv6h = chisel_1.3.1_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
- md5sums_armv6h = 8f5a59b2b3d84ff8ed075695a912e0c7
- source_armv7h = chisel_1.3.1_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
- md5sums_armv7h = 8f5a59b2b3d84ff8ed075695a912e0c7
- source_aarch64 = chisel_1.3.1_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
- md5sums_aarch64 = 8f5a59b2b3d84ff8ed075695a912e0c7
+ source = README_1.4.0.md::https://raw.githubusercontent.com/jpillora/chisel/v1.4.0/README.md
+ source = users_1.4.0.json::https://raw.githubusercontent.com/jpillora/chisel/v1.4.0/example/users.json
+ sha256sums = 381a32de53068aa1db79a3e7454c1c670e5c4f57fa0aca7d690e9f6622b72fd9
+ sha256sums = b3cb23ef88fcf4f39ee057289e7d2a92a03b2f33ec05caa4d70cd15a2ca60acd
+ source_i686 = chisel_1.4.0_i686.gz::https://github.com/jpillora/chisel/releases/download/v1.4.0/chisel_1.4.0_linux_386.gz
+ sha256sums_i686 = d3e8378618c05eab2159113af6737a1c6b49f982ebe2eb1ab7e9b52e5ce1b330
+ source_x86_64 = chisel_1.4.0_x86_64.gz::https://github.com/jpillora/chisel/releases/download/v1.4.0/chisel_1.4.0_linux_amd64.gz
+ sha256sums_x86_64 = 992bbf36c83f56d459a79cd34638f7ba932ad4a313eb9a63c8a8cf111ef9497b
+ source_armv6h = chisel_1.4.0_armv6h.gz::https://github.com/jpillora/chisel/releases/download/v1.4.0/chisel_1.4.0_linux_armv6.gz
+ sha256sums_armv6h = 5a89f9df7621ef8eac8832f7397c55559d71cee04a798474683e7e0019f5ee49
+ source_armv7h = chisel_1.4.0_armv7h.gz::https://github.com/jpillora/chisel/releases/download/v1.4.0/chisel_1.4.0_linux_armv7.gz
+ sha256sums_armv7h = 26e511920655fd8129d9d192f9ab2801a23c379bd4690bc1d71b5b94a9e99310
+ source_aarch64 = chisel_1.4.0_aarch64.gz::https://github.com/jpillora/chisel/releases/download/v1.4.0/chisel_1.4.0_linux_arm64.gz
+ sha256sums_aarch64 = 84e1091e97d33b0b8ae7c600f649e0cbaf00c1b7650d965ba4ef903eee709550
pkgname = chisel-tunnel