summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2017-11-23 23:53:48 +0100
committerCédric Connes2017-11-23 23:53:48 +0100
commit97bd968fad613da3d50d9d2c4ab604ff0205dce7 (patch)
tree2151653adf61f6cbe3b901c6dee798b407850be7 /.SRCINFO
parent3c419af6213e97acf28f53736942d6b570f5293a (diff)
downloadaur-97bd968fad613da3d50d9d2c4ab604ff0205dce7.tar.gz
Update to v1.2.3
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO30
1 files changed, 15 insertions, 15 deletions
diff --git a/.SRCINFO b/.SRCINFO
index cfd7dbda2f8f..245a6af48a4d 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.2.2
+ pkgver = 1.2.3
pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
@@ -10,22 +10,22 @@ pkgbase = chisel-tunnel
arch = armv7h
arch = aarch64
license = MIT
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.2/README.md
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.2/example/users.json
+ source = https://raw.githubusercontent.com/jpillora/chisel/1.2.3/README.md
+ source = https://raw.githubusercontent.com/jpillora/chisel/1.2.3/example/users.json
md5sums = a4b9322a6a38e3cdcd11f091241295d4
md5sums = 94a1b56e4b075e50eca71637dca2ba27
- source_i686 = chisel_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_386.gz
- md5sums_i686 = 588094f95dd8a4022280bbb38c6a5d1a
- source_x86_64 = chisel_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_amd64.gz
- md5sums_x86_64 = 69e4a6c7322038beea821749568cc2f3
- source_arm = chisel_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_arm.gz
- md5sums_arm = 1e7fb78655febc1c5ddabdd6d4fc4a12
- source_armv6h = chisel_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_arm.gz
- md5sums_armv6h = 1e7fb78655febc1c5ddabdd6d4fc4a12
- source_armv7h = chisel_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_arm.gz
- md5sums_armv7h = 1e7fb78655febc1c5ddabdd6d4fc4a12
- source_aarch64 = chisel_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.2/chisel_linux_arm.gz
- md5sums_aarch64 = 1e7fb78655febc1c5ddabdd6d4fc4a12
+ source_i686 = chisel_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_386.gz
+ md5sums_i686 = 3f252ada8db3eb0de637c734f9cfea64
+ source_x86_64 = chisel_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_amd64.gz
+ md5sums_x86_64 = 2d0fbc8b9856f81cd989f1571f65788d
+ source_arm = chisel_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
+ md5sums_arm = f1227f985767ba517f1cd7037b637264
+ source_armv6h = chisel_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
+ md5sums_armv6h = f1227f985767ba517f1cd7037b637264
+ source_armv7h = chisel_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
+ md5sums_armv7h = f1227f985767ba517f1cd7037b637264
+ source_aarch64 = chisel_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
+ md5sums_aarch64 = f1227f985767ba517f1cd7037b637264
pkgname = chisel-tunnel