summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2019-01-30 20:46:20 +0100
committerCédric Connes2019-01-30 20:46:20 +0100
commita9a952cc6220a0d6143f6c1fd9a2f62cee188bde (patch)
tree1662ed05dd315de9b1489b919fd0933477641996 /.SRCINFO
parent17cfb4e7367b7720079f9136c60d6701c8b5f337 (diff)
downloadaur-a9a952cc6220a0d6143f6c1fd9a2f62cee188bde.tar.gz
Update .SRCINFO
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO32
1 files changed, 16 insertions, 16 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 513d83451c59..782c2c999fe2 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.3.0
+ pkgver = 1.3.1
pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
@@ -10,22 +10,22 @@ pkgbase = chisel-tunnel
arch = armv7h
arch = aarch64
license = MIT
- source = README_1.3.0.md::https://raw.githubusercontent.com/jpillora/chisel/1.3.0/README.md
- source = users_1.3.0.json::https://raw.githubusercontent.com/jpillora/chisel/1.3.0/example/users.json
- md5sums = 2c4d0434351745668a7ac869d224f445
+ source = README_1.3.1.md::https://raw.githubusercontent.com/jpillora/chisel/1.3.1/README.md
+ source = users_1.3.1.json::https://raw.githubusercontent.com/jpillora/chisel/1.3.1/example/users.json
+ md5sums = a64c1a6c1677c0d915bf2d52f33169e6
md5sums = b962091a3cf143b8e5b7865c24aa32e6
- source_i686 = chisel_1.3.0_i686.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_386.gz
- md5sums_i686 = 07953b0c7cb7a497eecf947fbcdeed2d
- source_x86_64 = chisel_1.3.0_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_amd64.gz
- md5sums_x86_64 = 5339a456f759aae0f5fbbe013d73a055
- source_arm = chisel_1.3.0_arm.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
- md5sums_arm = 2a7a8aa65395f00116791dd0ee7b8af1
- source_armv6h = chisel_1.3.0_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
- md5sums_armv6h = 2a7a8aa65395f00116791dd0ee7b8af1
- source_armv7h = chisel_1.3.0_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
- md5sums_armv7h = 2a7a8aa65395f00116791dd0ee7b8af1
- source_aarch64 = chisel_1.3.0_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.3.0/chisel_linux_arm.gz
- md5sums_aarch64 = 2a7a8aa65395f00116791dd0ee7b8af1
+ source_i686 = chisel_1.3.1_i686.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_386.gz
+ md5sums_i686 = 78491dc7749ca9519305e399bf9d1e38
+ source_x86_64 = chisel_1.3.1_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_amd64.gz
+ md5sums_x86_64 = 5de9b51064800dec1762f617fe1c15c4
+ source_arm = chisel_1.3.1_arm.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
+ md5sums_arm = 8f5a59b2b3d84ff8ed075695a912e0c7
+ source_armv6h = chisel_1.3.1_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
+ md5sums_armv6h = 8f5a59b2b3d84ff8ed075695a912e0c7
+ source_armv7h = chisel_1.3.1_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
+ md5sums_armv7h = 8f5a59b2b3d84ff8ed075695a912e0c7
+ source_aarch64 = chisel_1.3.1_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.3.1/chisel_linux_arm.gz
+ md5sums_aarch64 = 8f5a59b2b3d84ff8ed075695a912e0c7
pkgname = chisel-tunnel