summarylogtreecommitdiffstats
path: root/.SRCINFO
diff options
context:
space:
mode:
authorCédric Connes2018-10-23 08:17:33 +0200
committerCédric Connes2018-10-23 08:17:33 +0200
commitd70889f713c0bd29e5e57b614c06867f4cffc5e5 (patch)
tree2b2f99fbdf9f9f82f0e7aa71fcb7dce2da273731 /.SRCINFO
parent97bd968fad613da3d50d9d2c4ab604ff0205dce7 (diff)
downloadaur-d70889f713c0bd29e5e57b614c06867f4cffc5e5.tar.gz
Update to v1.2.4
Diffstat (limited to '.SRCINFO')
-rw-r--r--.SRCINFO32
1 files changed, 16 insertions, 16 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 245a6af48a4d..b6c635730b79 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = chisel-tunnel
pkgdesc = A fast TCP tunnel over HTTP
- pkgver = 1.2.3
+ pkgver = 1.2.4
pkgrel = 1
url = https://github.com/jpillora/chisel
arch = i686
@@ -10,22 +10,22 @@ pkgbase = chisel-tunnel
arch = armv7h
arch = aarch64
license = MIT
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.3/README.md
- source = https://raw.githubusercontent.com/jpillora/chisel/1.2.3/example/users.json
- md5sums = a4b9322a6a38e3cdcd11f091241295d4
+ source = https://raw.githubusercontent.com/jpillora/chisel/1.2.4/README.md
+ source = https://raw.githubusercontent.com/jpillora/chisel/1.2.4/example/users.json
+ md5sums = 7ee9b8a209ad46b7e82778d63de4b76e
md5sums = 94a1b56e4b075e50eca71637dca2ba27
- source_i686 = chisel_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_386.gz
- md5sums_i686 = 3f252ada8db3eb0de637c734f9cfea64
- source_x86_64 = chisel_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_amd64.gz
- md5sums_x86_64 = 2d0fbc8b9856f81cd989f1571f65788d
- source_arm = chisel_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
- md5sums_arm = f1227f985767ba517f1cd7037b637264
- source_armv6h = chisel_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
- md5sums_armv6h = f1227f985767ba517f1cd7037b637264
- source_armv7h = chisel_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
- md5sums_armv7h = f1227f985767ba517f1cd7037b637264
- source_aarch64 = chisel_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.3/chisel_linux_arm.gz
- md5sums_aarch64 = f1227f985767ba517f1cd7037b637264
+ source_i686 = chisel_i686.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_386.gz
+ md5sums_i686 = 8290c774509dfaefdca2076ad450c274
+ source_x86_64 = chisel_x86_64.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_amd64.gz
+ md5sums_x86_64 = 65e0883c5c7b7f4f3a01239df3d4c121
+ source_arm = chisel_arm.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
+ md5sums_arm = 4a31c009ea9e62d82078fa6ae477502c
+ source_armv6h = chisel_armv6h.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
+ md5sums_armv6h = 4a31c009ea9e62d82078fa6ae477502c
+ source_armv7h = chisel_armv7h.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
+ md5sums_armv7h = 4a31c009ea9e62d82078fa6ae477502c
+ source_aarch64 = chisel_aarch64.gz::https://github.com/jpillora/chisel/releases/download/1.2.4/chisel_linux_arm.gz
+ md5sums_aarch64 = 4a31c009ea9e62d82078fa6ae477502c
pkgname = chisel-tunnel