summarylogtreecommitdiffstats
path: root/0057-fix-signal-module-build.patch
diff options
context:
space:
mode:
authoratomlong2021-10-23 17:55:22 +0800
committeratomlong2021-10-23 18:06:15 +0800
commit80096c8de4b34ec1ed8f30bb428918cd592b2424 (patch)
treeb48dd1e73de9ea35a4709fb6b93deb16331ec514 /0057-fix-signal-module-build.patch
parent89a67c05174951d172252b1db96ff93cc4ec4bcd (diff)
downloadaur-mingw-w64-python.tar.gz
update to 3.9.7
Diffstat (limited to '0057-fix-signal-module-build.patch')
-rw-r--r--0057-fix-signal-module-build.patch29
1 files changed, 29 insertions, 0 deletions
diff --git a/0057-fix-signal-module-build.patch b/0057-fix-signal-module-build.patch
new file mode 100644
index 000000000000..3988173e4520
--- /dev/null
+++ b/0057-fix-signal-module-build.patch
@@ -0,0 +1,29 @@
+From 9aea35d5abfebe9c7db885e7675f29d82a253b3b Mon Sep 17 00:00:00 2001
+From: Christoph Reiter <reiter.christoph@gmail.com>
+Date: Thu, 17 Jun 2021 18:52:10 +0530
+Subject: [PATCH 057/N] fix signal module build
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Co-authored-by: Алексей <alexey.pawlow@gmail.com>
+---
+ Modules/Setup | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/Modules/Setup b/Modules/Setup
+index 2bafdd0..7ce4355 100644
+--- a/Modules/Setup
++++ b/Modules/Setup
+@@ -111,7 +111,7 @@ _collections _collectionsmodule.c # Container types
+ _abc _abc.c # Abstract base classes
+ itertools itertoolsmodule.c # Functions creating iterators for efficient looping
+ atexit atexitmodule.c # Register functions to be run at interpreter-shutdown
+-_signal -DPy_BUILD_CORE_BUILTIN -I$(srcdir)/Include/internal signalmodule.c
++_signal -DPy_BUILD_CORE_BUILTIN -I$(srcdir)/Include/internal signalmodule.c -lws2_32
+ _stat _stat.c # stat.h interface
+ time -DPy_BUILD_CORE_BUILTIN -I$(srcdir)/Include/internal timemodule.c # -lm # time operations and variables
+ _thread -DPy_BUILD_CORE_BUILTIN -I$(srcdir)/Include/internal _threadmodule.c # low-level threading interface
+--
+2.33.0
+