summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authorGabriel Souza Franco2021-11-11 17:13:20 -0300
committerGabriel Souza Franco2021-11-11 17:13:20 -0300
commitb433f540ecddd1ccbae14acc3fb4bebf107bc23d (patch)
tree6014bb812f8980f8583224ae2ef6bc95ab044cb4
parentfb4c4da896ae63b6a217cf2079846cc065c4d563 (diff)
downloadaur-b433f540ecddd1ccbae14acc3fb4bebf107bc23d.tar.gz
Update to 21.1.0.842
ModelSim has been replaced with Questa starting from this release.
-rw-r--r--.SRCINFO190
-rw-r--r--51-usbblaster.rules10
-rw-r--r--PKGBUILD168
-rw-r--r--modelsim-ase.desktop9
-rw-r--r--modelsim-ase.sh1
-rw-r--r--quartus.desktop4
-rw-r--r--quartus.sh11
-rw-r--r--questa-fse.desktop9
-rw-r--r--questa-fse.sh1
-rw-r--r--questa.gifbin0 -> 825 bytes
10 files changed, 193 insertions, 210 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 98e5a6361a89..eb12df17ac98 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,75 +1,55 @@
pkgbase = quartus-free
pkgdesc = Quartus Prime Lite design software for Intel FPGAs
- pkgver = 20.1.1.720
+ pkgver = 21.1.0.842
pkgrel = 1
url = http://fpgasoftware.intel.com/?edition=lite
arch = x86_64
license = custom
- depends = ld-lsb
- depends = lib32-expat
- depends = lib32-fontconfig
- depends = lib32-freetype2
- depends = lib32-glibc
- depends = lib32-gtk2
- depends = lib32-libcanberra
- depends = lib32-libpng
- depends = lib32-libice
- depends = lib32-libsm
- depends = lib32-util-linux
- depends = lib32-ncurses
- depends = lib32-ncurses5-compat-libs
- depends = lib32-zlib
- depends = lib32-libx11
- depends = lib32-libxau
- depends = lib32-libxdmcp
- depends = lib32-libxext
- depends = lib32-libxft
- depends = lib32-libxrender
- depends = lib32-libxt
- depends = lib32-libxtst
- noextract = arria_lite-20.1.1.720.qdz
- noextract = cyclone-20.1.1.720.qdz
- noextract = cyclone10lp-20.1.1.720.qdz
- noextract = cyclonev-20.1.1.720.qdz
- noextract = max-20.1.1.720.qdz
- noextract = max10-20.1.1.720.qdz
+ noextract = arria_lite-21.1.0.842.qdz
+ noextract = cyclone-21.1.0.842.qdz
+ noextract = cyclone10lp-21.1.0.842.qdz
+ noextract = cyclonev-21.1.0.842.qdz
+ noextract = max-21.1.0.842.qdz
+ noextract = max10-21.1.0.842.qdz
options = !strip
options = !debug
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/QuartusLiteSetup-20.1.1.720-linux.run
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/ModelSimSetup-20.1.1.720-linux.run
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/QuartusHelpSetup-20.1.1.720-linux.run
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/arria_lite-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclone-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclone10lp-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclonev-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/max-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/max10-20.1.1.720.qdz
- source = https://download.altera.com/akdlm/software/acdsinst/20.3/158/ib_installers/HLSProSetup-20.3.0.158-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/QuartusLiteSetup-21.1.0.842-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/QuestaSetup-21.1.0.842-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/QuartusHelpSetup-21.1.0.842-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/arria_lite-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/cyclone-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/cyclone10lp-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/cyclonev-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/max-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.1std/842/ib_installers/max10-21.1.0.842.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/21.3/170/ib_installers/HLSProSetup-21.3.0.170-linux.run
source = quartus.sh
source = quartus.desktop
- source = modelsim-ase.sh
- source = modelsim-ase.desktop
+ source = questa-fse.sh
+ source = questa-fse.desktop
+ source = questa.gif
source = 51-usbblaster.rules
- md5sums = 3a5ca38169bcff285611789850b5af83
- md5sums = adfdde0d455dadedd2cc094cbf771352
- md5sums = 1f1a52ba830b7496a1276c15a7fe067a
- md5sums = 4561d23010dd1fd359fe12348b102ac6
- md5sums = e6527cbc876426c4ecd8737d8b68369c
- md5sums = d47100035a5a97f44048df19218b09e4
- md5sums = 78d59d548756f81e67b9d7cd2149e2b8
- md5sums = 9e8b802c6b4768933362a0e6398b7e2e
- md5sums = fea82df785421cd0c0bf75ca94790804
- md5sums = 20a76b8373fcab2ceb35d2003a0630d1
- md5sums = 60fbfafbaa565af5e97b2904914e41e7
- md5sums = c5a8f6310ade971f07e5ee6c4e338054
- md5sums = ea5eca3341da1628e57f3efb7e074796
- md5sums = a32f9e42db394016ce267c8d96f69cd5
- md5sums = f5744dc4820725b93917e3a24df13da9
+ md5sums = 9b5f01ab0f5d3c591fc1802357e064d7
+ md5sums = 18ecba3798924467b82f229469f40842
+ md5sums = 44c0d88b372dd13ce3f9f504c124fe67
+ md5sums = a2d16c109493c37bb5d10bcd3a54f58c
+ md5sums = e37015353737752218908311e2e915f5
+ md5sums = 2061e55e14fa6419376bea1ff8bba3c1
+ md5sums = 5d3df782ac7f408f8166e58af030ff9b
+ md5sums = 8657de76ca949c8b435146f79bd39ef9
+ md5sums = cb403b4794fba35c13075bf2234c7e2b
+ md5sums = fa89e11f176f02c27beb16e4faf98a14
+ md5sums = c3de75ed812e3810e5ba2bcb76f9d9b6
+ md5sums = fce8bb5015acd892d829c8ce83be8ba6
+ md5sums = 44cf36c918ea51bcec780c4f571fb342
+ md5sums = 0a502c89020da0b5bd77865550341a0b
+ md5sums = 7d422454a0776d6f0cd469c5ab3ed869
+ md5sums = 7f6bc8dfa926ce4721ba6290b15e0f65
pkgname = quartus-free
pkgdesc = Meta-package containing all Quartus Prime Lite tools and device libraries
depends = quartus-free-quartus
- depends = quartus-free-modelsim
+ depends = quartus-free-questa
depends = quartus-free-help
depends = quartus-free-devinfo-arria_lite
depends = quartus-free-devinfo-cyclone
@@ -81,57 +61,55 @@ pkgname = quartus-free
pkgname = quartus-free-quartus
depends = ld-lsb
- depends = lib32-expat
- depends = lib32-fontconfig
- depends = lib32-freetype2
+ depends = alsa-lib
+ depends = bzip2
+ depends = dbus
+ depends = expat
+ depends = fontconfig
+ depends = freetype2
+ depends = gcc-libs
+ depends = glib2
+ depends = lib32-gcc-libs
depends = lib32-glibc
- depends = lib32-gtk2
- depends = lib32-libcanberra
- depends = lib32-libpng
- depends = lib32-libice
- depends = lib32-libsm
- depends = lib32-util-linux
- depends = lib32-ncurses
- depends = lib32-ncurses5-compat-libs
- depends = lib32-zlib
- depends = lib32-libx11
- depends = lib32-libxau
- depends = lib32-libxdmcp
- depends = lib32-libxext
- depends = lib32-libxft
- depends = lib32-libxrender
- depends = lib32-libxt
- depends = lib32-libxtst
+ depends = libdrm
+ depends = libice
+ depends = libjpeg6
+ depends = libpng12
+ depends = libpulse
+ depends = libsm
+ depends = libudev0-shim
+ depends = libx11
+ depends = libxau
+ depends = libxext
+ depends = libxi
+ depends = libxml2
+ depends = libxrender
+ depends = libxtst
+ depends = ncurses5-compat-libs
+ depends = util-linux-libs
+ depends = zlib
depends = quartus-free-devinfo
optdepends = eclipse: For Nios II EDS
-pkgname = quartus-free-modelsim
- pkgdesc = Quartus Prime Lite - ModelSim-Intel FPGA Starter Edition
- depends = ld-lsb
- depends = lib32-expat
- depends = lib32-fontconfig
- depends = lib32-freetype2
+pkgname = quartus-free-questa
+ pkgdesc = Quartus Prime Lite - Questa-Intel FPGA Starter Edition
+ depends = expat
+ depends = fontconfig
+ depends = freetype2
+ depends = gcc-libs
+ depends = gd
+ depends = lib32-gcc-libs
depends = lib32-glibc
- depends = lib32-gtk2
- depends = lib32-libcanberra
- depends = lib32-libpng
- depends = lib32-libice
- depends = lib32-libsm
- depends = lib32-util-linux
- depends = lib32-ncurses
- depends = lib32-ncurses5-compat-libs
- depends = lib32-zlib
- depends = lib32-libx11
- depends = lib32-libxau
- depends = lib32-libxdmcp
- depends = lib32-libxext
- depends = lib32-libxft
- depends = lib32-libxrender
- depends = lib32-libxt
- depends = lib32-libxtst
- depends = lib32-alsa-lib
- depends = lib32-libxi
depends = lib32-libxml2
+ depends = libjpeg6
+ depends = libpng12
+ depends = libx11
+ depends = libxext
+ depends = libxft
+ depends = libxml2
+ depends = libxpm
+ depends = ncurses5-compat-libs
+ depends = zlib
pkgname = quartus-free-help
pkgdesc = Quartus Prime Lite - help files
@@ -139,29 +117,35 @@ pkgname = quartus-free-help
pkgname = quartus-free-devinfo-arria_lite
pkgdesc = Quartus Prime Lite - devinfo files for arria_lite
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-devinfo-cyclone
pkgdesc = Quartus Prime Lite - devinfo files for cyclone
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-devinfo-cyclone10lp
pkgdesc = Quartus Prime Lite - devinfo files for cyclone10lp
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-devinfo-cyclonev
pkgdesc = Quartus Prime Lite - devinfo files for cyclonev
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-devinfo-max
pkgdesc = Quartus Prime Lite - devinfo files for max
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-devinfo-max10
pkgdesc = Quartus Prime Lite - devinfo files for max10
+ depends =
provides = quartus-free-devinfo
pkgname = quartus-free-hls
pkgdesc = Quartus Prime - HLS compiler
depends = quartus-free-quartus
-
+ depends = ocl-icd
diff --git a/51-usbblaster.rules b/51-usbblaster.rules
index 8f3377851ae1..030c9ddad3ae 100644
--- a/51-usbblaster.rules
+++ b/51-usbblaster.rules
@@ -1,8 +1,8 @@
# USB-Blaster
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="664", GROUP="plugdev"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="660", GROUP="plugdev", TAG+="uaccess"
# USB-Blaster II
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6010", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6810", MODE="664", GROUP="plugdev" \ No newline at end of file
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6010", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6810", MODE="660", GROUP="plugdev", TAG+="uaccess"
diff --git a/PKGBUILD b/PKGBUILD
index e336e08c8915..c6aa21ee64a9 100644
--- a/PKGBUILD
+++ b/PKGBUILD
@@ -2,16 +2,14 @@
# Contributor: Nico Rumpeltin <$forename at $surname dot de>
# Contributor: Matthias Blaicher <matthias at blaicher dot com>
# Contributor: Danny Dutton <duttondj@vt.edu>
-#
-# NOTE: If you plan on using the usbblaster make sure you are member of the plugdev group.
-#
+
pkgbase=quartus-free
-_components=(${pkgbase}-{quartus,modelsim,help,devinfo-{arria_lite,cyclone{,10lp,v},max{,10}},hls})
+_components=(${pkgbase}-{quartus,questa,help,devinfo-{arria_lite,cyclone{,10lp,v},max{,10}},hls})
pkgname=(${pkgbase} ${_components[@]})
# Keep dot in _patchver
-_mainver=20.1; _patchver=.1; _buildver=720
+_mainver=21.1; _patchver=.0; _buildver=842
# Latest HLS compiler was only released with Pro numbering
-_promain=20.3; _propatch=.0; _probuild=158; _prover=${_promain}${_propatch}.${_probuild}
+_promain=21.3; _propatch=.0; _probuild=170; _prover=${_promain}${_propatch}.${_probuild}
pkgver=${_mainver}${_patchver}.${_buildver}
pkgrel=1
pkgdesc="Quartus Prime Lite design software for Intel FPGAs"
@@ -19,47 +17,45 @@ arch=('x86_64')
url="http://fpgasoftware.intel.com/?edition=lite"
license=('custom')
-_alteradir="/opt/intelFPGA/${_mainver}"
+_inteldir="/opt/intelFPGA/${_mainver}"
-# According to the installer script, these dependencies are needed for the installer
-depends=('ld-lsb' 'lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
- 'lib32-gtk2' 'lib32-libcanberra' 'lib32-libpng' 'lib32-libice' 'lib32-libsm'
- 'lib32-util-linux' 'lib32-ncurses' 'lib32-ncurses5-compat-libs' 'lib32-zlib'
- 'lib32-libx11' 'lib32-libxau' 'lib32-libxdmcp' 'lib32-libxext' 'lib32-libxft'
- 'lib32-libxrender' 'lib32-libxt' 'lib32-libxtst')
+# See individual packages
+depends=()
_base_url="https://download.altera.com/akdlm/software/acdsinst"
source=("${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusLiteSetup-${pkgver}-linux.run"
- "${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/ModelSimSetup-${pkgver}-linux.run"
+ "${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuestaSetup-${pkgver}-linux.run"
"${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusHelpSetup-${pkgver}-linux.run"
"${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/"{arria_lite,cyclone{,10lp,v},max{,10}}"-${pkgver}.qdz"
"${_base_url}/${_promain}${_propatch/.0/}/${_probuild}/ib_installers/HLSProSetup-${_prover}-linux.run"
- 'quartus.sh' 'quartus.desktop' 'modelsim-ase.sh' 'modelsim-ase.desktop' '51-usbblaster.rules')
+ 'quartus.sh' 'quartus.desktop' 'questa-fse.sh' 'questa-fse.desktop' 'questa.gif' '51-usbblaster.rules')
noextract=({arria_lite,cyclone{,10lp,v},max{,10}}"-${pkgver}.qdz") # Will extract directly to pkgdir
-md5sums=('3a5ca38169bcff285611789850b5af83'
- 'adfdde0d455dadedd2cc094cbf771352'
- '1f1a52ba830b7496a1276c15a7fe067a'
- '4561d23010dd1fd359fe12348b102ac6'
- 'e6527cbc876426c4ecd8737d8b68369c'
- 'd47100035a5a97f44048df19218b09e4'
- '78d59d548756f81e67b9d7cd2149e2b8'
- '9e8b802c6b4768933362a0e6398b7e2e'
- 'fea82df785421cd0c0bf75ca94790804'
- '20a76b8373fcab2ceb35d2003a0630d1'
- '60fbfafbaa565af5e97b2904914e41e7'
- 'c5a8f6310ade971f07e5ee6c4e338054'
- 'ea5eca3341da1628e57f3efb7e074796'
- 'a32f9e42db394016ce267c8d96f69cd5'
- 'f5744dc4820725b93917e3a24df13da9')
-
-options=(!strip !debug) # Stripping will takes ages, I'd avoid it
+md5sums=('9b5f01ab0f5d3c591fc1802357e064d7'
+ '18ecba3798924467b82f229469f40842'
+ '44c0d88b372dd13ce3f9f504c124fe67'
+ 'a2d16c109493c37bb5d10bcd3a54f58c'
+ 'e37015353737752218908311e2e915f5'
+ '2061e55e14fa6419376bea1ff8bba3c1'
+ '5d3df782ac7f408f8166e58af030ff9b'
+ '8657de76ca949c8b435146f79bd39ef9'
+ 'cb403b4794fba35c13075bf2234c7e2b'
+ 'fa89e11f176f02c27beb16e4faf98a14'
+ 'c3de75ed812e3810e5ba2bcb76f9d9b6'
+ 'fce8bb5015acd892d829c8ce83be8ba6'
+ '44cf36c918ea51bcec780c4f571fb342'
+ '0a502c89020da0b5bd77865550341a0b'
+ '7d422454a0776d6f0cd469c5ab3ed869'
+ '7f6bc8dfa926ce4721ba6290b15e0f65')
+
+options=('!strip' '!debug') # Stripping will takes ages, I'd avoid it
PKGEXT=".pkg.tar.zst" # ZSTD is fast enough for compression
prepare() {
echo "Notice: Requires around 20GB of free space during package building!"
echo "Notice: The package files also requires around 8GB of free space"
- chmod +x {QuartusLite,ModelSim,QuartusHelp}Setup-${pkgver}-linux.run
+ chmod +x {QuartusLite,Questa,QuartusHelp}Setup-${pkgver}-linux.run
+ chmod +x HLSProSetup-${_prover}-linux.run
}
package_quartus-free() {
@@ -68,44 +64,49 @@ package_quartus-free() {
}
package_quartus-free-quartus() {
- depends+=(quartus-free-devinfo)
+ depends=(ld-lsb alsa-lib bzip2 dbus expat fontconfig freetype2 gcc-libs glib2 lib32-gcc-libs lib32-glibc
+ libdrm libice libjpeg6 libpng12 libpulse libsm libudev0-shim libx11 libxau libxext libxi libxml2
+ libxrender libxtst ncurses5-compat-libs util-linux-libs zlib quartus-free-devinfo)
optdepends=("eclipse: For Nios II EDS")
DISPLAY="" ./QuartusLiteSetup-${pkgver}-linux.run \
- --disable-components quartus_help,devinfo,modelsim_ase,modelsim_ae \
+ --disable-components quartus_help,devinfo,questa_fse,questa_fe \
--mode unattended \
--unattendedmodeui none \
--accept_eula 1 \
- --installdir "${pkgdir}${_alteradir}"
+ --installdir "${pkgdir}${_inteldir}"
# Remove uninstaller and install logs since we have a working package management
- rm -r "${pkgdir}${_alteradir}/uninstall"
- rm -r "${pkgdir}${_alteradir}/logs"
+ rm -r "${pkgdir}${_inteldir}/"{uninstall,logs}
# Remove useless unzip binaries
- find "${pkgdir}${_alteradir}" \( -name "unzip" -or -name "unzip32" \) -delete
+ find "${pkgdir}${_inteldir}" -name unzip -delete
# Remove duplicated file from help
- rm -r "${pkgdir}${_alteradir}/quartus/common/help/webhelp"
+ rm -r "${pkgdir}${_inteldir}/quartus/common/help/webhelp"
# Fix missing permissions
- find "${pkgdir}${_alteradir}" \! -perm /o+rwx -exec chmod o=g {} \;
+ find "${pkgdir}${_inteldir}" \! -perm /o+rwx -exec chmod o=g {} \;
# Replace altera directory in integration files
- sed -i "s,_alteradir,${_alteradir},g" quartus.sh
- sed -i "s,_alteradir,${_alteradir},g" quartus.desktop
+ sed -i "s,@_inteldir@,${_inteldir},g" quartus.sh
+ sed -i "s,@_inteldir@,${_inteldir},g" quartus.desktop
# Remove pkgdir reference in sopc_builder
- sed -i "s,${pkgdir},,g" "${pkgdir}${_alteradir}/quartus/sopc_builder/.sopc_builder"
+ sed -i "s,${pkgdir},,g" "${pkgdir}${_inteldir}/quartus/sopc_builder/.sopc_builder"
# Fix world writable permissions
- find "${pkgdir}${_alteradir}/nios2eds/documents" -perm -o+w -exec chmod go-w {} \+
- find "${pkgdir}${_alteradir}/quartus/common/tcl" -perm -o+w -exec chmod go-w {} \+
- find "${pkgdir}${_alteradir}/quartus/linux64" -perm -o+w -exec chmod go-w {} \+
- find "${pkgdir}${_alteradir}/quartus/sopc_builder/bin/europa" -perm -o+w -exec chmod go-w {} \+
-
- # Copy license file
- install -D -m644 "${pkgdir}${_alteradir}/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
+ find "${pkgdir}${_inteldir}/nios2eds/documents" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/nios2eds/bin" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/ip/altera/mentor_vip_ae" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/quartus/dspba" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/quartus/common/tcl" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/quartus/linux64" -perm -o+w -exec chmod go-w {} \+
+ find "${pkgdir}${_inteldir}/quartus/sopc_builder/bin/europa" -perm -o+w -exec chmod go-w {} \+
+
+ # Link license file
+ install -d -m755 "${pkgdir}/usr/share/licenses/${pkgname}"
+ ln -s "${_inteldir}/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
# Install integration files
install -D -m755 quartus.sh "${pkgdir}/etc/profile.d/quartus.sh"
@@ -113,73 +114,80 @@ package_quartus-free-quartus() {
install -D -m644 quartus.desktop "${pkgdir}/usr/share/applications/quartus.desktop"
}
-package_quartus-free-modelsim() {
- depends+=(lib32-alsa-lib lib32-libxi lib32-libxml2)
- pkgdesc="Quartus Prime Lite - ModelSim-Intel FPGA Starter Edition"
+package_quartus-free-questa() {
+ depends=(expat fontconfig freetype2 gcc-libs gd lib32-gcc-libs lib32-glibc lib32-libxml2
+ libjpeg6 libpng12 libx11 libxext libxft libxml2 libxpm ncurses5-compat-libs zlib)
+ pkgdesc="Quartus Prime Lite - Questa-Intel FPGA Starter Edition"
- DISPLAY="" ./ModelSimSetup-${pkgver}-linux.run \
- --modelsim_edition modelsim_ase \
+ DISPLAY="" ./QuestaSetup-${pkgver}-linux.run \
+ --questa_edition questa_fse \
--mode unattended \
--unattendedmodeui none \
--accept_eula 1 \
- --installdir "${pkgdir}${_alteradir}"
+ --installdir "${pkgdir}${_inteldir}"
# Remove uninstaller and install logs since we have a working package management
- rm -r "${pkgdir}${_alteradir}/uninstall"
- rm -r "${pkgdir}${_alteradir}/logs"
+ rm -r "${pkgdir}${_inteldir}/"{uninstall,logs}
# Fix missing permissions
- find "${pkgdir}${_alteradir}" \! -perm /o+rwx -exec chmod o=g {} \;
+ find "${pkgdir}${_inteldir}" \! -perm /o+rwx -exec chmod o=g {} \;
# Replace altera directory in integration files
- sed -i "s,_alteradir,${_alteradir},g" modelsim-ase.sh
- sed -i "s,_alteradir,${_alteradir},g" modelsim-ase.desktop
+ sed -i "s,@_inteldir@,${_inteldir},g" questa-fse.sh
+ sed -i "s,@_inteldir@,${_inteldir},g" questa-fse.desktop
- # Fix modelsim startup code for Linux Kernel >=4.0
- # see https://wiki.archlinux.org/index.php/Altera_Design_Software
- sed -i 's,linux_rh60,linux,g' "${pkgdir}${_alteradir}/modelsim_ase/vco"
+ # Add application icon
+ install -D -m644 "${srcdir}/questa.gif" "${pkgdir}${_inteldir}/questa_fse/questa.gif"
# Suppress spurious warning about linux-gate.so.1
- sed -i '/msg_system/a suppress = 3116' "${pkgdir}${_alteradir}/modelsim_ase/modelsim.ini"
+ #sed -i '/msg_system/a suppress = 3116' "${pkgdir}${_inteldir}/questa_fse/modelsim.ini"
+
+ # Link license file
+ install -d -m755 "${pkgdir}/usr/share/licenses/${pkgname}"
+ ln -s "${_inteldir}/questa_fse/LICENSE" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
# Install integration files
- install -D -m755 modelsim-ase.sh "${pkgdir}/etc/profile.d/modelsim-ase.sh"
- install -D -m644 modelsim-ase.desktop "${pkgdir}/usr/share/applications/modelsim-ase.desktop"
+ install -D -m755 questa-fse.sh "${pkgdir}/etc/profile.d/questa-fse.sh"
+ install -D -m644 questa-fse.desktop "${pkgdir}/usr/share/applications/questa-fse.desktop"
}
package_quartus-free-help() {
depends=(quartus-free-quartus)
pkgdesc="Quartus Prime Lite - help files"
- DISPLAY="" ./QuartusHelpSetup-${pkgver}-linux.run --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_alteradir}"
+ DISPLAY="" ./QuartusHelpSetup-${pkgver}-linux.run --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_inteldir}"
# Remove uninstaller and install logs since we have a working package management
- rm -r "${pkgdir}${_alteradir}/uninstall"
- rm -r "${pkgdir}${_alteradir}/logs"
+ rm -r "${pkgdir}${_inteldir}/"{uninstall,logs}
+
+ # Link license file
+ install -d -m755 "${pkgdir}/usr/share/licenses/${pkgname}"
+ ln -s "${_inteldir}/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
}
for _dev in {arria_lite,cyclone{,10lp,v},max{,10}}; do
eval "
package_${pkgbase}-devinfo-${_dev}() {
provides=(quartus-free-devinfo)
+ depends=()
pkgdesc='Quartus Prime Lite - devinfo files for ${_dev}'
- install -d \"\${pkgdir}\${_alteradir}\"
- bsdtar -xf \"${_dev}-\${pkgver}.qdz\" -C \"\${pkgdir}\${_alteradir}\"
+ install -d \"\${pkgdir}\${_inteldir}\"
+ bsdtar -xf \"${_dev}-\${pkgver}.qdz\" -C \"\${pkgdir}\${_inteldir}\"
}
"
done
package_quartus-free-hls() {
- depends=(quartus-free-quartus)
+ depends=(quartus-free-quartus ocl-icd)
pkgdesc="Quartus Prime - HLS compiler"
- chmod a+x HLSProSetup-${_prover}-linux.run
- DISPLAY="" ./HLSProSetup-${_prover}-linux.run --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_alteradir}"
+ DISPLAY="" ./HLSProSetup-${_prover}-linux.run --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_inteldir}"
- # Fix path to Lite qsys-script
- sed -i '429s,../qsys,sopc_builder,' "${pkgdir}${_alteradir}/hls/init_hls.sh"
+ # Link license file
+ install -d -m755 "${pkgdir}/usr/share/licenses/${pkgname}"
+ ln -s "${_inteldir}/licenses/hls_lic.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
+ chmod 00755 "${pkgdir}${_inteldir}/licenses"
# Remove uninstaller and install logs since we have a working package management
- rm -r "${pkgdir}${_alteradir}/uninstall"
- rm -r "${pkgdir}${_alteradir}/logs"
+ rm -r "${pkgdir}${_inteldir}/"{uninstall,logs}
}
diff --git a/modelsim-ase.desktop b/modelsim-ase.desktop
deleted file mode 100644
index 23e9355f1e7d..000000000000
--- a/modelsim-ase.desktop
+++ /dev/null
@@ -1,9 +0,0 @@
-[Desktop Entry]
-Version=1.0
-Name=ModelSim-Intel FPGA Starter Edition
-Comment=ModelSim simulation software for Intel FPGAs
-Exec=_alteradir/modelsim_ase/bin/vsim -gui -l /dev/null
-Icon=_alteradir/modelsim_ase/modesim.gif
-Terminal=false
-Type=Application
-Categories=Development
diff --git a/modelsim-ase.sh b/modelsim-ase.sh
deleted file mode 100644
index b056e9d6e1c3..000000000000
--- a/modelsim-ase.sh
+++ /dev/null
@@ -1 +0,0 @@
-export PATH=$PATH:_alteradir/modelsim_ase/bin
diff --git a/quartus.desktop b/quartus.desktop
index 62194b2dc29a..dbbfd763ac23 100644
--- a/quartus.desktop
+++ b/quartus.desktop
@@ -2,8 +2,8 @@
Version=1.0
Name=Quartus Prime Lite
Comment=Quartus Prime Lite design software for Intel FPGAs
-Exec=_alteradir/quartus/bin/quartus --64bit
-Icon=_alteradir/quartus/adm/quartusii.png
+Exec=@_inteldir@/quartus/bin/quartus --64bit
+Icon=@_inteldir@/quartus/adm/quartusii.png
Terminal=false
Type=Application
Categories=Development
diff --git a/quartus.sh b/quartus.sh
index 14159e0e3a1a..32c7c1766250 100644
--- a/quartus.sh
+++ b/quartus.sh
@@ -1,10 +1 @@
-export PATH=$PATH:_alteradir/quartus/bin:_alteradir/quartus/sopc_builder/bin
-
-# Detect if a 64bit machine and activate quartus
-# depending on it.
-if [ `uname -m` = "x86_64" ] ; then
- QUARTUS_64BIT='1'
-else
- QUARTUS_64BIT='0'
-fi
-export QUARTUS_64BIT
+export PATH=$PATH:@_inteldir@/quartus/bin:@_inteldir@/quartus/sopc_builder/bin
diff --git a/questa-fse.desktop b/questa-fse.desktop
new file mode 100644
index 000000000000..bb4b72db2519
--- /dev/null
+++ b/questa-fse.desktop
@@ -0,0 +1,9 @@
+[Desktop Entry]
+Version=1.0
+Name=Questa-Intel FPGA Starter Edition
+Comment=Questa simulation software for Intel FPGAs
+Exec=@_inteldir@/questa_fse/bin/vsim -gui -l /dev/null
+Icon=@_inteldir@/questa_fse/questa.gif
+Terminal=false
+Type=Application
+Categories=Development
diff --git a/questa-fse.sh b/questa-fse.sh
new file mode 100644
index 000000000000..e8935c7a038f
--- /dev/null
+++ b/questa-fse.sh
@@ -0,0 +1 @@
+export PATH=$PATH:@_inteldir@/questa_fse/bin
diff --git a/questa.gif b/questa.gif
new file mode 100644
index 000000000000..03f8de6c688f
--- /dev/null
+++ b/questa.gif
Binary files differ