summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authorGabriel Souza Franco2020-12-09 23:20:07 -0300
committerGabriel Souza Franco2020-12-09 23:20:07 -0300
commitfb4c4da896ae63b6a217cf2079846cc065c4d563 (patch)
tree949ce7e02489314cb6759781b047790817ce3873
parenta9d0da90286dd239403158fa9e2757a9951f4a13 (diff)
downloadaur-fb4c4da896ae63b6a217cf2079846cc065c4d563.tar.gz
Update to 20.1.1.720
Add HLS compiler from Pro distribution, documentation says it doesn't require a license.
-rw-r--r--.SRCINFO63
-rw-r--r--PKGBUILD68
-rw-r--r--quartus.sh2
3 files changed, 74 insertions, 59 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 296305de8757..98e5a6361a89 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,11 +1,11 @@
pkgbase = quartus-free
pkgdesc = Quartus Prime Lite design software for Intel FPGAs
- pkgver = 20.1.0.711
+ pkgver = 20.1.1.720
pkgrel = 1
url = http://fpgasoftware.intel.com/?edition=lite
arch = x86_64
license = custom
- makedepends = patchelf
+ depends = ld-lsb
depends = lib32-expat
depends = lib32-fontconfig
depends = lib32-freetype2
@@ -27,38 +27,40 @@ pkgbase = quartus-free
depends = lib32-libxrender
depends = lib32-libxt
depends = lib32-libxtst
- noextract = arria_lite-20.1.0.711.qdz
- noextract = cyclone-20.1.0.711.qdz
- noextract = cyclone10lp-20.1.0.711.qdz
- noextract = cyclonev-20.1.0.711.qdz
- noextract = max-20.1.0.711.qdz
- noextract = max10-20.1.0.711.qdz
+ noextract = arria_lite-20.1.1.720.qdz
+ noextract = cyclone-20.1.1.720.qdz
+ noextract = cyclone10lp-20.1.1.720.qdz
+ noextract = cyclonev-20.1.1.720.qdz
+ noextract = max-20.1.1.720.qdz
+ noextract = max10-20.1.1.720.qdz
options = !strip
options = !debug
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/QuartusLiteSetup-20.1.0.711-linux.run
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/ModelSimSetup-20.1.0.711-linux.run
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/QuartusHelpSetup-20.1.0.711-linux.run
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/arria_lite-20.1.0.711.qdz
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/cyclone-20.1.0.711.qdz
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/cyclone10lp-20.1.0.711.qdz
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/cyclonev-20.1.0.711.qdz
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/max-20.1.0.711.qdz
- source = http://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/max10-20.1.0.711.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/QuartusLiteSetup-20.1.1.720-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/ModelSimSetup-20.1.1.720-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/QuartusHelpSetup-20.1.1.720-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/arria_lite-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclone-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclone10lp-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/cyclonev-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/max-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/max10-20.1.1.720.qdz
+ source = https://download.altera.com/akdlm/software/acdsinst/20.3/158/ib_installers/HLSProSetup-20.3.0.158-linux.run
source = quartus.sh
source = quartus.desktop
source = modelsim-ase.sh
source = modelsim-ase.desktop
source = 51-usbblaster.rules
- md5sums = e995b65f09aa0855770a2315cf7bc2ff
- md5sums = 6c0b26510477d20896becca042c33917
- md5sums = cbfc39a16bc6fcbb6862aa676e2fbcfb
- md5sums = a439bb4873e69bd23e35aced3ea9ba8c
- md5sums = 14e47510cea47dc0ed92c8cb97d76488
- md5sums = af64dc8c95035dd084e9e8b621eb1378
- md5sums = 2b30d36171d1beb159971f89206e6d9f
- md5sums = 2ef442bc8872aa4e39ed3b313e13cc2a
- md5sums = 10284d71211a02f6dd20cbc41c9ececb
- md5sums = 737d51fcc74c8d6d2114c8f4ba79e4de
+ md5sums = 3a5ca38169bcff285611789850b5af83
+ md5sums = adfdde0d455dadedd2cc094cbf771352
+ md5sums = 1f1a52ba830b7496a1276c15a7fe067a
+ md5sums = 4561d23010dd1fd359fe12348b102ac6
+ md5sums = e6527cbc876426c4ecd8737d8b68369c
+ md5sums = d47100035a5a97f44048df19218b09e4
+ md5sums = 78d59d548756f81e67b9d7cd2149e2b8
+ md5sums = 9e8b802c6b4768933362a0e6398b7e2e
+ md5sums = fea82df785421cd0c0bf75ca94790804
+ md5sums = 20a76b8373fcab2ceb35d2003a0630d1
+ md5sums = 60fbfafbaa565af5e97b2904914e41e7
md5sums = c5a8f6310ade971f07e5ee6c4e338054
md5sums = ea5eca3341da1628e57f3efb7e074796
md5sums = a32f9e42db394016ce267c8d96f69cd5
@@ -75,8 +77,10 @@ pkgname = quartus-free
depends = quartus-free-devinfo-cyclonev
depends = quartus-free-devinfo-max
depends = quartus-free-devinfo-max10
+ depends = quartus-free-hls
pkgname = quartus-free-quartus
+ depends = ld-lsb
depends = lib32-expat
depends = lib32-fontconfig
depends = lib32-freetype2
@@ -103,6 +107,7 @@ pkgname = quartus-free-quartus
pkgname = quartus-free-modelsim
pkgdesc = Quartus Prime Lite - ModelSim-Intel FPGA Starter Edition
+ depends = ld-lsb
depends = lib32-expat
depends = lib32-fontconfig
depends = lib32-freetype2
@@ -156,3 +161,7 @@ pkgname = quartus-free-devinfo-max10
pkgdesc = Quartus Prime Lite - devinfo files for max10
provides = quartus-free-devinfo
+pkgname = quartus-free-hls
+ pkgdesc = Quartus Prime - HLS compiler
+ depends = quartus-free-quartus
+
diff --git a/PKGBUILD b/PKGBUILD
index 22f125f3aa67..e336e08c8915 100644
--- a/PKGBUILD
+++ b/PKGBUILD
@@ -6,13 +6,12 @@
# NOTE: If you plan on using the usbblaster make sure you are member of the plugdev group.
#
pkgbase=quartus-free
-_components=(${pkgbase}-{quartus,modelsim,help,devinfo-{arria_lite,cyclone{,10lp,v},max{,10}}})
+_components=(${pkgbase}-{quartus,modelsim,help,devinfo-{arria_lite,cyclone{,10lp,v},max{,10}},hls})
pkgname=(${pkgbase} ${_components[@]})
-_mainver=20.1
# Keep dot in _patchver
-_patchver=.0
-_buildver=711
-_basever=.0.711
+_mainver=20.1; _patchver=.1; _buildver=720
+# Latest HLS compiler was only released with Pro numbering
+_promain=20.3; _propatch=.0; _probuild=158; _prover=${_promain}${_propatch}.${_probuild}
pkgver=${_mainver}${_patchver}.${_buildver}
pkgrel=1
pkgdesc="Quartus Prime Lite design software for Intel FPGAs"
@@ -23,30 +22,31 @@ license=('custom')
_alteradir="/opt/intelFPGA/${_mainver}"
# According to the installer script, these dependencies are needed for the installer
-depends=('lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
+depends=('ld-lsb' 'lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
'lib32-gtk2' 'lib32-libcanberra' 'lib32-libpng' 'lib32-libice' 'lib32-libsm'
'lib32-util-linux' 'lib32-ncurses' 'lib32-ncurses5-compat-libs' 'lib32-zlib'
'lib32-libx11' 'lib32-libxau' 'lib32-libxdmcp' 'lib32-libxext' 'lib32-libxft'
'lib32-libxrender' 'lib32-libxt' 'lib32-libxtst')
-makedepends=('patchelf')
-
-source=("http://download.altera.com/akdlm/software/acdsinst/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusLiteSetup-${pkgver}-linux.run"
- "http://download.altera.com/akdlm/software/acdsinst/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/ModelSimSetup-${pkgver}-linux.run"
- "http://download.altera.com/akdlm/software/acdsinst/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusHelpSetup-${pkgver}-linux.run"
- "http://download.altera.com/akdlm/software/acdsinst/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/"{arria_lite,cyclone{,10lp,v},max{,10}}"-${pkgver}.qdz"
+_base_url="https://download.altera.com/akdlm/software/acdsinst"
+source=("${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusLiteSetup-${pkgver}-linux.run"
+ "${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/ModelSimSetup-${pkgver}-linux.run"
+ "${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusHelpSetup-${pkgver}-linux.run"
+ "${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/"{arria_lite,cyclone{,10lp,v},max{,10}}"-${pkgver}.qdz"
+ "${_base_url}/${_promain}${_propatch/.0/}/${_probuild}/ib_installers/HLSProSetup-${_prover}-linux.run"
'quartus.sh' 'quartus.desktop' 'modelsim-ase.sh' 'modelsim-ase.desktop' '51-usbblaster.rules')
noextract=({arria_lite,cyclone{,10lp,v},max{,10}}"-${pkgver}.qdz") # Will extract directly to pkgdir
-md5sums=('e995b65f09aa0855770a2315cf7bc2ff'
- '6c0b26510477d20896becca042c33917'
- 'cbfc39a16bc6fcbb6862aa676e2fbcfb'
- 'a439bb4873e69bd23e35aced3ea9ba8c'
- '14e47510cea47dc0ed92c8cb97d76488'
- 'af64dc8c95035dd084e9e8b621eb1378'
- '2b30d36171d1beb159971f89206e6d9f'
- '2ef442bc8872aa4e39ed3b313e13cc2a'
- '10284d71211a02f6dd20cbc41c9ececb'
- '737d51fcc74c8d6d2114c8f4ba79e4de'
+md5sums=('3a5ca38169bcff285611789850b5af83'
+ 'adfdde0d455dadedd2cc094cbf771352'
+ '1f1a52ba830b7496a1276c15a7fe067a'
+ '4561d23010dd1fd359fe12348b102ac6'
+ 'e6527cbc876426c4ecd8737d8b68369c'
+ 'd47100035a5a97f44048df19218b09e4'
+ '78d59d548756f81e67b9d7cd2149e2b8'
+ '9e8b802c6b4768933362a0e6398b7e2e'
+ 'fea82df785421cd0c0bf75ca94790804'
+ '20a76b8373fcab2ceb35d2003a0630d1'
+ '60fbfafbaa565af5e97b2904914e41e7'
'c5a8f6310ade971f07e5ee6c4e338054'
'ea5eca3341da1628e57f3efb7e074796'
'a32f9e42db394016ce267c8d96f69cd5'
@@ -88,11 +88,6 @@ package_quartus-free-quartus() {
# Remove duplicated file from help
rm -r "${pkgdir}${_alteradir}/quartus/common/help/webhelp"
- # Fix interpreter for the license manager
- for prog in quartus/linux64/{lmutil,lmgrd}; do
- patchelf --set-interpreter /lib64/ld-linux-x86-64.so.2 "${pkgdir}${_alteradir}/${prog}"
- done
-
# Fix missing permissions
find "${pkgdir}${_alteradir}" \! -perm /o+rwx -exec chmod o=g {} \;
@@ -133,10 +128,6 @@ package_quartus-free-modelsim() {
rm -r "${pkgdir}${_alteradir}/uninstall"
rm -r "${pkgdir}${_alteradir}/logs"
- for prog in modelsim_ase/linuxaloem/mgls/bin/{lmutil,lmgrd}; do
- patchelf --set-interpreter /lib/ld-linux.so.2 "${pkgdir}${_alteradir}/${prog}"
- done
-
# Fix missing permissions
find "${pkgdir}${_alteradir}" \! -perm /o+rwx -exec chmod o=g {} \;
@@ -177,3 +168,18 @@ package_${pkgbase}-devinfo-${_dev}() {
}
"
done
+
+package_quartus-free-hls() {
+ depends=(quartus-free-quartus)
+ pkgdesc="Quartus Prime - HLS compiler"
+
+ chmod a+x HLSProSetup-${_prover}-linux.run
+ DISPLAY="" ./HLSProSetup-${_prover}-linux.run --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_alteradir}"
+
+ # Fix path to Lite qsys-script
+ sed -i '429s,../qsys,sopc_builder,' "${pkgdir}${_alteradir}/hls/init_hls.sh"
+
+ # Remove uninstaller and install logs since we have a working package management
+ rm -r "${pkgdir}${_alteradir}/uninstall"
+ rm -r "${pkgdir}${_alteradir}/logs"
+}
diff --git a/quartus.sh b/quartus.sh
index b6d67296a316..14159e0e3a1a 100644
--- a/quartus.sh
+++ b/quartus.sh
@@ -1,4 +1,4 @@
-export PATH=$PATH:_alteradir/quartus/bin
+export PATH=$PATH:_alteradir/quartus/bin:_alteradir/quartus/sopc_builder/bin
# Detect if a 64bit machine and activate quartus
# depending on it.