summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authorSwift Geek2015-12-03 15:07:07 +0100
committerSwift Geek2015-12-03 15:10:47 +0100
commitd656ea921773dff572a29c009d516d8836eba1d4 (patch)
tree4a88ff91a72f5edad92fbbd7c490b2a6cc6c327a
downloadaur-d656ea921773dff572a29c009d516d8836eba1d4.tar.gz
Initial trim
-rw-r--r--.SRCINFO25
-rw-r--r--51-usbblaster.rules8
-rw-r--r--PKGBUILD96
-rw-r--r--quartus.desktop9
-rw-r--r--quartus.install12
-rw-r--r--quartus.sh10
6 files changed, 160 insertions, 0 deletions
diff --git a/.SRCINFO b/.SRCINFO
new file mode 100644
index 000000000000..1c890dcc8ce2
--- /dev/null
+++ b/.SRCINFO
@@ -0,0 +1,25 @@
+pkgbase = quartus-lite
+ pkgdesc = Quartus Prime Lite Edition design software for Altera FPGA's. Modular package
+ pkgver = 15.1.0.185
+ pkgrel = 1
+ url = http://dl.altera.com/?edition=lite
+ install = quartus.install
+ arch = i686
+ arch = x86_64
+ license = custom
+ makedepends = bash
+ options = strip
+ options = upx
+ source = http://download.altera.com/akdlm/software/acdsinst/15.1/185/ib_installers/QuartusLiteSetup-15.1.0.185-linux.run
+ source = quartus.sh
+ source = quartus.desktop
+ source = 51-usbblaster.rules
+ source = quartus.install
+ md5sums = cc8bfde25f57c2f05d1753882bc9607a
+ md5sums = 067c444cae7fe31d3608245712b43ce8
+ md5sums = 32b17cb8b992fc2dccd33d87f0dcd8ce
+ md5sums = f5744dc4820725b93917e3a24df13da9
+ md5sums = a331a81c44aed062a7af6d28542c3d82
+
+pkgname = quartus-lite
+
diff --git a/51-usbblaster.rules b/51-usbblaster.rules
new file mode 100644
index 000000000000..8f3377851ae1
--- /dev/null
+++ b/51-usbblaster.rules
@@ -0,0 +1,8 @@
+# USB-Blaster
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="664", GROUP="plugdev"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="664", GROUP="plugdev"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="664", GROUP="plugdev"
+
+# USB-Blaster II
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6010", MODE="664", GROUP="plugdev"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6810", MODE="664", GROUP="plugdev" \ No newline at end of file
diff --git a/PKGBUILD b/PKGBUILD
new file mode 100644
index 000000000000..bdc8e16fe3be
--- /dev/null
+++ b/PKGBUILD
@@ -0,0 +1,96 @@
+# Maintainer: Matthias Blaicher <matthias at blaicher dot com>
+#
+# NOTE: If you plan on using the usbblaster make sure you are member of the plugdev group.
+# NOTE: Altera has dramatically changed their packing in regards to version 12. This
+# PKGBUILD will install the full Altera suite now. Be aware that the space requirement
+# is around 13GB.
+#
+pkgname=quartus-lite
+pkgver=15.1.0.185
+pkgrel=1
+pkgdesc="Quartus Prime Lite Edition design software for Altera FPGA's. Modular package"
+arch=('i686' 'x86_64')
+url="http://dl.altera.com/?edition=lite"
+license=('custom')
+
+_build_nr=$(echo ${pkgver} | cut -d '.' -f4)
+_alteradir="/opt/altera"
+
+# According to the installer script, these dependencies are needed for the installer
+if [[ $CARCH = i686 ]]
+then
+ depends=('desktop-file-utils' 'expat' 'fontconfig' 'freetype2'
+ 'glibc' 'gtk2' 'libcanberra' 'libpng' 'libpng12' 'libice' 'libsm'
+ 'util-linux' 'ncurses' 'tcl' 'tcllib' 'zlib' 'libx11' 'libxau'
+ 'libxdmcp' 'libxext' 'libxft' 'libxrender' 'libxt' 'libxtst')
+fi
+
+if [[ $CARCH = x86_64 ]]
+then
+ depends=('desktop-file-utils' 'expat' 'fontconfig' 'freetype2'
+ 'glibc' 'gtk2' 'libcanberra' 'libpng' 'libpng12' 'libice' 'libsm'
+ 'util-linux' 'ncurses' 'tcl' 'tcllib' 'zlib' 'libx11' 'libxau'
+ 'libxdmcp' 'libxext' 'libxft' 'libxrender' 'libxt' 'libxtst'
+
+ 'lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
+ 'lib32-gtk2' 'lib32-libcanberra' 'lib32-libpng' 'lib32-libpng12'
+ 'lib32-libice' 'lib32-libsm' 'lib32-util-linux' 'lib32-ncurses'
+ 'lib32-zlib' 'lib32-libx11' 'lib32-libxau' 'lib32-libxdmcp'
+ 'lib32-libxext' 'lib32-libxft' 'lib32-libxrender' 'lib32-libxt'
+ 'lib32-libxtst')
+fi
+
+makedepends=('bash')
+
+source=("http://download.altera.com/akdlm/software/acdsinst/${pkgver%.*.*}/${_build_nr}/ib_installers/QuartusLiteSetup-${pkgver}-linux.run"
+ "quartus.sh" "quartus.desktop" "51-usbblaster.rules" "quartus.install")
+md5sums=('cc8bfde25f57c2f05d1753882bc9607a'
+ '067c444cae7fe31d3608245712b43ce8'
+ '32b17cb8b992fc2dccd33d87f0dcd8ce'
+ 'f5744dc4820725b93917e3a24df13da9'
+ 'a331a81c44aed062a7af6d28542c3d82')
+
+options=('strip' 'upx') # Stripping and UPX will takes ages, I'd avoid it.
+install='quartus.install'
+PKGEXT=".pkg.tar" # Do not compress
+
+package() {
+ cd "${srcdir}"
+
+ # TODO: Make bogus $DISPLAY
+ chmod a+x "QuartusLiteSetup-15.1.0.185-linux.run"
+ DISPLAY="" ./QuartusLiteSetup-15.1.0.185-linux.run --mode unattended --unattendedmodeui none --installdir "${pkgdir}/${_alteradir}"
+
+ # Remove uninstaller and install logs since we have a working package management
+ rm -r "${pkgdir}${_alteradir}/uninstall"
+ rm -r "${pkgdir}${_alteradir}/logs"
+
+ # Remove for now parts that are not needed for core quartus:
+ # TODO: Split instead of removing
+
+ # Nios2Eds - no comments on this abomination
+ rm -rf ${pkgdir}/${_alteradir}/nios2eds
+
+ # Altera IP cores - you probably don't want to use them anyway (see opencores)
+ rm -rf ${pkgdir}/${_alteradir}/ip
+
+ # HLS (HLD) - high level synthesis
+ rm -rf ${pkgdir}/${_alteradir}/hld
+
+ # Help (Nearly 1GiB)
+ #rm -rf ${pkgdir}/${_alteradir}/quartus/common/help
+
+ # Replace altera directory in integration files
+ sed -i.bak "s,_alteradir,$_alteradir,g" quartus.sh
+ sed -i.bak "s,_alteradir,$_alteradir,g" quartus.desktop
+
+ # Copy license file
+ install -D -m644 "${pkgdir}${_alteradir}/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
+
+ # Install integration files
+ install -D -m755 quartus.sh "${pkgdir}/etc/profile.d/quartus.sh"
+ install -D -m644 51-usbblaster.rules "${pkgdir}/etc/udev/rules.d/51-usbblaster.rules"
+ install -D -m644 quartus.desktop "${pkgdir}/usr/share/applications/quartus.desktop"
+}
+
+# vim:set ts=2 sw=2 et:
diff --git a/quartus.desktop b/quartus.desktop
new file mode 100644
index 000000000000..dfb88ec78ea3
--- /dev/null
+++ b/quartus.desktop
@@ -0,0 +1,9 @@
+[Desktop Entry]
+Version=1.0
+Name=Quartus II
+Comment=Quartus II design software for Altera FPGA's
+Exec=_alteradir/quartus/bin/quartus
+Icon=_alteradir/quartus/adm/quartusii.png
+Terminal=false
+Type=Application
+Categories=Development \ No newline at end of file
diff --git a/quartus.install b/quartus.install
new file mode 100644
index 000000000000..714b377744ac
--- /dev/null
+++ b/quartus.install
@@ -0,0 +1,12 @@
+post_install() {
+ update-desktop-database -q
+}
+
+post_upgrade() {
+ update-desktop-database -q
+}
+
+
+post_remove() {
+ update-desktop-database -q
+}
diff --git a/quartus.sh b/quartus.sh
new file mode 100644
index 000000000000..792e78e980ca
--- /dev/null
+++ b/quartus.sh
@@ -0,0 +1,10 @@
+export PATH=$PATH:_alteradir/quartus/bin
+
+# Detect if a 64bit machine and activate quartus
+# depending on it.
+if [ `uname -m` = "x86_64" ] ; then
+ QUARTUS_64BIT='1'
+else
+ QUARTUS_64BIT='0'
+fi
+export QUARTUS_64BIT \ No newline at end of file