summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authorSebastian Weiss2022-10-09 22:20:34 +0200
committerSebastian Weiss2022-10-09 22:20:34 +0200
commit2d7421af60c6e365c8b13675fb094303329dfe2e (patch)
treefbb013126dc525652507066c91e7708b870aa65a
parent3276d0f800bcc90517bd5e4c703bb312a707e71a (diff)
downloadaur-quartus-standard-programmer.tar.gz
update to 19.1
-rw-r--r--.SRCINFO37
-rw-r--r--51-usbblaster.rules11
-rw-r--r--PKGBUILD77
-rw-r--r--quartus.install12
-rw-r--r--quartus.sh1
5 files changed, 67 insertions, 71 deletions
diff --git a/.SRCINFO b/.SRCINFO
index 0352d74e1cc8..e6cf12901d72 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,21 +1,34 @@
pkgbase = quartus-standard-programmer
pkgdesc = Quartus Prime Programmer and Tools
- pkgver = 17.1.1.593
+ pkgver = 19.1.0.670
pkgrel = 1
- url = http://dl.altera.com/?edition=standard
- install = quartus.install
+ url = http://fpgasoftware.intel.com/?edition=standard
arch = x86_64
license = custom
+ depends = expat
+ depends = fontconfig
+ depends = freetype2
+ depends = glibc
+ depends = libice
+ depends = libsm
+ depends = util-linux
+ depends = ncurses
+ depends = tcl
+ depends = zlib
+ depends = libx11
+ depends = libxau
+ depends = libxdmcp
+ depends = libxext
+ depends = libxft
+ depends = libxrender
+ depends = libxt
+ depends = libxtst
options = !strip
- options = !upx
- source = http://download.altera.com/akdlm/software/acdsinst/17.1std.1/593/ib_installers/QuartusProgrammerSetup-17.1.1.593-linux.run
- source = http://download.altera.com/akdlm/software/acdsinst/17.1std/590/ib_installers/QuartusProgrammerSetup-17.1.0.590-linux.run
+ source = https://download.altera.com/akdlm/software/acdsinst/19.1std/670/ib_installers/QuartusProgrammerSetup-19.1.0.670-linux.run
source = 51-usbblaster.rules
- source = quartus.install
- sha256sums = c816847e72753c8fd625361d26ba269f88ad6f5ed4a613d43e9871373faf05a6
- sha256sums = 69975a8f1530378b45de871f0677818d35f61237c936753cb660a939bc70a12c
- sha256sums = dd9d33fa2698a0ec11ae86f4508f77e2e12bf4a21224f5b16640bc41d6c0999b
- sha256sums = 0e815b138bcec2d1f9c6aa498d2f56cc131417119af19016a2019173da526d9b
+ source = quartus.sh
+ sha256sums = 3c829397efcf9307a0bb044b3da3bd4a8a6b7a2cb5bcb56c4432d364ad9bd416
+ sha256sums = 02341b69e0d56dacb86df911092ac249df3c9a301a3169b20204859eef2d854b
+ sha256sums = 7b4bfe06f7e11c82117d16811a83626adf38a2000ce5be1cbdf1d1f1da74211a
pkgname = quartus-standard-programmer
-
diff --git a/51-usbblaster.rules b/51-usbblaster.rules
index 8f3377851ae1..6c321ea2792d 100644
--- a/51-usbblaster.rules
+++ b/51-usbblaster.rules
@@ -1,8 +1,9 @@
# USB-Blaster
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="664", GROUP="plugdev"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="660", GROUP="plugdev", TAG+="uaccess"
# USB-Blaster II
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6010", MODE="664", GROUP="plugdev"
-ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6810", MODE="664", GROUP="plugdev" \ No newline at end of file
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6010", MODE="660", GROUP="plugdev", TAG+="uaccess"
+ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6810", MODE="660", GROUP="plugdev", TAG+="uaccess"
+
diff --git a/PKGBUILD b/PKGBUILD
index c665e6445461..c98be6bdcb35 100644
--- a/PKGBUILD
+++ b/PKGBUILD
@@ -1,66 +1,59 @@
-# Submitter: Sequencer <liujiuyang1994 at gmail dot com>
+# Submitter: Sebastian Weiss <dl3yc at darc dot de>
#
# NOTE: If you plan on using the usbblaster make sure you are member of the plugdev group.
-# http://download.altera.com/akdlm/software/acdsinst/17.1std.1/593/ib_installers/QuartusProgrammerSetup-17.1.1.593-linux.run
-# http://download.altera.com/akdlm/software/acdsinst/17.1std/590/ib_installers/QuartusProgrammerSetup-17.1.0.590-linux.run
pkgname=quartus-standard-programmer
-pkgver=17.1.1.593
-_oldver=17.1.0.590
+_mainver=19.1; _patchver=.0; _buildver=670
+pkgver=${_mainver}${_patchver}.${_buildver}
pkgrel=1
-pkgdesc="Quartus Prime Programmer and Tools "
+pkgdesc="Quartus Prime Programmer and Tools"
arch=('x86_64')
-url="http://dl.altera.com/?edition=standard"
+url="http://fpgasoftware.intel.com/?edition=standard"
license=('custom')
-_alteradir="/opt/altera"
+_inteldir="/opt/intelFPGA/${_mainver}"
-#depends=('desktop-file-utils' 'expat' 'fontconfig' 'freetype2'
-#'glibc' 'gtk2' 'libcanberra' 'libpng' 'libpng12' 'libice' 'libsm'
-#'util-linux' 'ncurses' 'tcl' 'tcllib' 'zlib' 'libx11' 'libxau'
-#'libxdmcp' 'libxext' 'libxft' 'libxrender' 'libxt' 'libxtst')
+depends=('expat' 'fontconfig' 'freetype2' 'glibc' 'libice' 'libsm'
+ 'util-linux' 'ncurses' 'tcl' 'zlib' 'libx11' 'libxau'
+ 'libxdmcp' 'libxext' 'libxft' 'libxrender' 'libxt' 'libxtst')
-source=("http://download.altera.com/akdlm/software/acdsinst/17.1std.1/593/ib_installers/QuartusProgrammerSetup-${pkgver}-linux.run"
- "http://download.altera.com/akdlm/software/acdsinst/17.1std/590/ib_installers/QuartusProgrammerSetup-${_oldver}-linux.run"
+_base_url="https://download.altera.com/akdlm/software/acdsinst"
+source=("${_base_url}/${_mainver}std${_patchver/.0/}/${_buildver}/ib_installers/QuartusProgrammerSetup-${pkgver}-linux.run"
"51-usbblaster.rules"
- "quartus.install")
-sha256sums=('c816847e72753c8fd625361d26ba269f88ad6f5ed4a613d43e9871373faf05a6'
- '69975a8f1530378b45de871f0677818d35f61237c936753cb660a939bc70a12c'
- 'dd9d33fa2698a0ec11ae86f4508f77e2e12bf4a21224f5b16640bc41d6c0999b'
- '0e815b138bcec2d1f9c6aa498d2f56cc131417119af19016a2019173da526d9b')
+ "quartus.sh")
+sha256sums=('3c829397efcf9307a0bb044b3da3bd4a8a6b7a2cb5bcb56c4432d364ad9bd416'
+ '02341b69e0d56dacb86df911092ac249df3c9a301a3169b20204859eef2d854b'
+ '7b4bfe06f7e11c82117d16811a83626adf38a2000ce5be1cbdf1d1f1da74211a')
-options=(!strip !upx) # Stripping and UPX will takes ages, I'd avoid it.
-install='quartus.install'
+options=(!strip)
PKGEXT=".pkg.tar" # Do not compress
prepare() {
cd "${srcdir}"
chmod a+x "QuartusProgrammerSetup-${pkgver}-linux.run"
- chmod a+x "QuartusProgrammerSetup-${_oldver}-linux.run"
}
package() {
- cd "${srcdir}"
- echo "Packaging base"
- DISPLAY="" ./"QuartusProgrammerSetup-${_oldver}-linux.run" --accept_eula 1 --mode unattended --unattendedmodeui none --installdir "${pkgdir}${_alteradir}" &>/dev/null &
- while [ ! -f "${pkgdir}${_alteradir}/logs/qprogrammer-${_oldver}-linux-install.log" ]; do
- echo "waiting for QuartusProgrammerSetup-${_oldver}"
- sync
- sleep 10
- done
- killall -9 "QuartusProgrammerSetup-${_oldver}-linux.run"
- echo "Packaging upgrade"
- DISPLAY="" ./"QuartusProgrammerSetup-${pkgver}-linux.run" --accept_eula 1 --mode unattended --unattendedmodeui none --installdir "${pkgdir}${_alteradir}" &>/dev/null &
- while [ ! -f "${pkgdir}${_alteradir}/logs/qprogrammer-${pkgver}-linux-install.log" ]; do
- echo "waiting for QuartusProgrammerSetup-${pkgver}"
- sync
- sleep 10
- done
- killall -9 "QuartusProgrammerSetup-${pkgver}-linux.run"
+ DISPLAY="" ./QuartusProgrammerSetup-${pkgver}-linux.run --accept_eula 1 --mode unattended --unattendedmodeui none --installdir "${pkgdir}${_inteldir}"
-
# Remove uninstaller and install logs since we have a working package management
- rm -r "${pkgdir}${_alteradir}/uninstall"
- rm -r "${pkgdir}${_alteradir}/logs"
+ rm -r "${pkgdir}${_inteldir}/"{uninstall,logs}
+
+ # Replace altera directory in integration files
+ sed -i "s,@_inteldir@,${_inteldir},g" quartus.sh
# Install integration files
+ install -D -m755 quartus.sh "${pkgdir}/etc/profile.d/quartus.sh"
install -D -m644 51-usbblaster.rules "${pkgdir}/etc/udev/rules.d/51-usbblaster.rules"
}
+
+post_install() {
+ update-desktop-database -q
+}
+
+post_upgrade() {
+ update-desktop-database -q
+}
+
+
+post_remove() {
+ update-desktop-database -q
+}
diff --git a/quartus.install b/quartus.install
deleted file mode 100644
index 714b377744ac..000000000000
--- a/quartus.install
+++ /dev/null
@@ -1,12 +0,0 @@
-post_install() {
- update-desktop-database -q
-}
-
-post_upgrade() {
- update-desktop-database -q
-}
-
-
-post_remove() {
- update-desktop-database -q
-}
diff --git a/quartus.sh b/quartus.sh
new file mode 100644
index 000000000000..008788f70c9b
--- /dev/null
+++ b/quartus.sh
@@ -0,0 +1 @@
+export PATH=$PATH:@_inteldir@/qprogrammer/bin: