summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authormox2021-03-06 12:44:21 +0100
committermox2021-03-06 12:44:21 +0100
commit305aacaaf38b60ae68b63efe69626a539c37897c (patch)
treee084bcd40baa96bbd47490c94fd98574eeccbdff
downloadaur-305aacaaf38b60ae68b63efe69626a539c37897c.tar.gz
Adding initial files.
-rw-r--r--.SRCINFO23
-rw-r--r--PKGBUILD37
2 files changed, 60 insertions, 0 deletions
diff --git a/.SRCINFO b/.SRCINFO
new file mode 100644
index 000000000000..f5b3ba0ae4d4
--- /dev/null
+++ b/.SRCINFO
@@ -0,0 +1,23 @@
+pkgbase = uvm-python-git
+ pkgdesc = Port of SystemVerilog Universal Verification Methodology (UVM) 1.2 to Python and cocotb
+ pkgver = r1174.26acd2b
+ pkgrel = 1
+ url = https://github.com/tpoikela/uvm-python
+ arch = any
+ license = APACHE
+ makedepends = git
+ makedepends = python-wheel
+ depends = python
+ depends = python-setuptools
+ depends = python-cocotb
+ optdepends = iverilog: for simulating verilog designs
+ optdepends = verilator: for simulating verilog designs
+ optdepends = gtkwave: for visualizing waveforms
+ provides = uvm-python
+ conflicts = uvm-python
+ options = !emptydirs
+ source = git+https://github.com/tpoikela/uvm-python
+ md5sums = SKIP
+
+pkgname = uvm-python-git
+
diff --git a/PKGBUILD b/PKGBUILD
new file mode 100644
index 000000000000..c47c9e87a919
--- /dev/null
+++ b/PKGBUILD
@@ -0,0 +1,37 @@
+# Maintainer: mox <de ünderscöre mox )ä] zoho döt com>
+# Contributor: mox <de ünderscöre mox )ä] zoho döt com>
+pkgname=uvm-python-git
+pkgver=r1174.26acd2b
+pkgrel=1
+pkgdesc="Port of SystemVerilog Universal Verification Methodology (UVM) 1.2 to Python and cocotb"
+arch=('any')
+url="https://github.com/tpoikela/uvm-python"
+license=('APACHE')
+provides=("${pkgname%-git}")
+conflicts=("${pkgname%-git}")
+depends=('python' 'python-setuptools' 'python-cocotb')
+
+makedepends=('git' 'python-wheel')
+optdepends=('iverilog: for simulating verilog designs'
+ 'verilator: for simulating verilog designs'
+ 'gtkwave: for visualizing waveforms')
+
+options=(!emptydirs)
+source=('git+https://github.com/tpoikela/uvm-python')
+md5sums=('SKIP')
+
+build() {
+ cd "$srcdir/${pkgname%-git}"
+ python setup.py build
+}
+
+package() {
+ cd "$srcdir/${pkgname%-git}"
+ python setup.py install --skip-build --root="$pkgdir" --optimize=1
+ install -m 644 -D ./LICENSE "$pkgdir"/usr/share/licenses/"$pkgname"/LICENSE
+}
+
+pkgver() {
+ cd "$srcdir/${pkgname%-git}"
+ printf "r%s.%s" "$(git rev-list --count HEAD)" "$(git rev-parse --short HEAD)"
+}