summarylogtreecommitdiffstats
diff options
context:
space:
mode:
authorCayetano Santos2021-06-02 11:52:02 +0200
committerCayetano Santos2021-06-02 11:52:02 +0200
commit2a4a6916ddb43653a278607c401412a1942f1ee0 (patch)
treed9422e69771cafc874459097d52f6bbffbefd028
parent427dff61a5c480c8fd34f975246d83c15a77d591 (diff)
downloadaur-2a4a6916ddb43653a278607c401412a1942f1ee0.tar.gz
Fix pkgver / pkgrel
-rw-r--r--.SRCINFO2
-rw-r--r--PKGBUILD4
2 files changed, 3 insertions, 3 deletions
diff --git a/.SRCINFO b/.SRCINFO
index fff93dcae66c..5cf56ea2edd6 100644
--- a/.SRCINFO
+++ b/.SRCINFO
@@ -1,6 +1,6 @@
pkgbase = python-cocotb-bus
pkgdesc = Pre-packaged testbenching tools and reusable bus interfaces for cocotb
- pkgver = 0.1
+ pkgver = 0.1.1
pkgrel = 1
url = https://github.com/cocotb/cocotb-bus/
arch = any
diff --git a/PKGBUILD b/PKGBUILD
index 50949212e157..8b2eb20e5b95 100644
--- a/PKGBUILD
+++ b/PKGBUILD
@@ -1,6 +1,6 @@
# Maintainer: csantosb <csantosb dot inventati dot org>
pkgname=python-cocotb-bus
-pkgver=0.1
+pkgver=0.1.1
pkgrel=1
pkgdesc="Pre-packaged testbenching tools and reusable bus interfaces for cocotb"
arch=('any')
@@ -14,7 +14,7 @@ optdepends=('iverilog: for simulating verilog designs'
'gtkwave: for visualizing waveforms')
options=(!emptydirs)
-source=("git+https://github.com/cocotb/cocotb-bus#tag=v${pkgver}.${pkgrel}")
+source=("git+https://github.com/cocotb/cocotb-bus#tag=v${pkgver}")
md5sums=('SKIP')
build() {