summarylogtreecommitdiffstats
path: root/wrappers.patch
blob: 67f624f721be91fe2b16ad04ed66fb37ba505d90 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
diff --git a/env b/env
index 1e51c5b5..a8c0d483 100644
--- a/env
+++ b/env
@@ -1,9 +1,29 @@
 #!/bin/bash
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+ENVPATH=$(realpath "${BASH_SOURCE[0]}")
+ENVPATH=$(dirname "${ENVPATH}")
 
-if [ -z $VPRPATH ]; then
-	export VPRPATH=$MYPATH
-	export PYTHONPATH=${VPRPATH}/python:${VPRPATH}/python/prjxray:${PYTHONPATH}
-fi
+DATABASE_DIR=${DATABASE_DIR:-$(prjxray-config)}
+
+SHARE_DIR_PATH=$(realpath "${ENVPATH}/../share/symbiflow")
+export SHARE_DIR_PATH
+export TECHMAP_PATH=${SHARE_DIR_PATH}/techmaps/xc7_vpr/techmap
+export UTILS_PATH=${SHARE_DIR_PATH}/scripts
+
+find_device_from_part() {
+	# Try to find device name. Accept only when exactly one is found
+
+	local PART=$1
+	[[ -n ${PART} ]] || return 1
+	PART_DIRS=("${DATABASE_DIR}"/*/"${PART}")
+	if [[ ${#PART_DIRS[@]} -eq 1 ]]; then
+		basename -- "$(dirname "${PART_DIRS[0]}")"
+		return 0
+	else
+		echo "Could not determine device from part name alone - please specify a device"
+		return 1
+	fi
+}
+
+export VPRPATH=${VPRPATH:-$ENVPATH}
+export PYTHONPATH=${VPRPATH}/python:${VPRPATH}/python/prjxray:${PYTHONPATH:-}
diff --git a/symbiflow_generate_constraints b/symbiflow_generate_constraints
index a973e577..99812c63 100755
--- a/symbiflow_generate_constraints
+++ b/symbiflow_generate_constraints
@@ -1,10 +1,10 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
-
-export SHARE_DIR_PATH=`realpath ${MYPATH}/../share/symbiflow`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
+# shellcheck source=env
+source "${MYPATH}/env"
 
 EBLIF=$1
 NET=$2
@@ -13,17 +13,27 @@ DEVICE=$4
 ARCH_DEF=$5
 PCF=$6
 
-if [ ! -z $PCF ]; then
-    PCF_OPTS="--pcf $PCF"
+if [[ -n ${PCF} ]]; then
+    PCF_OPTS=(--pcf "${PCF}")
 fi
 
 VPR_GRID_MAP=${SHARE_DIR_PATH}/arch/${DEVICE}/vpr_grid_map.csv
 PINMAP=${SHARE_DIR_PATH}/arch/${DEVICE}/${PART}/pinmap.csv
 IOGEN=${SHARE_DIR_PATH}/scripts/prjxray_create_ioplace.py
 CONSTR_GEN=${SHARE_DIR_PATH}/scripts/prjxray_create_place_constraints.py
-PROJECT=$(basename -- "$EBLIF")
+PROJECT=$(basename -- "${EBLIF}")
 IOPLACE_FILE="${PROJECT%.*}.ioplace"
 
-python3 ${IOGEN} --blif $EBLIF --map $PINMAP --net $NET $PCF_OPTS > ${IOPLACE_FILE}
-python3 ${CONSTR_GEN} --net $NET --arch ${ARCH_DEF} --blif $EBLIF --vpr_grid_map ${VPR_GRID_MAP} --input ${IOPLACE_FILE} > constraints.place
+python3 "${IOGEN}" \
+    --blif "${EBLIF}" \
+    --map "${PINMAP}" \
+    --net "${NET}" \
+    "${PCF_OPTS[@]}" > "${IOPLACE_FILE}"
+
+python3 "${CONSTR_GEN}" \
+    --net "${NET}" \
+    --arch "${ARCH_DEF}" \
+    --blif "${EBLIF}" \
+    --vpr_grid_map "${VPR_GRID_MAP}" \
+    --input "${IOPLACE_FILE}" > constraints.place
 
diff --git a/symbiflow_pack b/symbiflow_pack
index 9f4371ea..d355fa55 100755
--- a/symbiflow_pack
+++ b/symbiflow_pack
@@ -1,15 +1,18 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
 
-source ${MYPATH}/env
-source ${VPRPATH}/vpr_common
+# shellcheck source=env
+source "${MYPATH}/env"
 
-parse_args "$@"
+OUT_NOISY_WARNINGS=noisy_warnings_pack.log
+
+# shellcheck source=vpr_common
+source "${VPRPATH}/vpr_common"
 
-export OUT_NOISY_WARNINGS=noisy_warnings-${DEVICE}_pack.log
+parse_args "$@"
 
 run_vpr --pack
 
diff --git a/symbiflow_place b/symbiflow_place
index 09b10817..574779a6 100755
--- a/symbiflow_place
+++ b/symbiflow_place
@@ -1,27 +1,30 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
 
-source ${MYPATH}/env
-source ${MYPATH}/vpr_common
+# shellcheck source=env
+source "${MYPATH}/env"
+
+OUT_NOISY_WARNINGS=noisy_warnings_place.log
+
+# shellcheck source=vpr_common
+source "${VPRPATH}/vpr_common"
 
 parse_args "$@"
 
-if [ -z $PCF ]; then
+if [[ -z ${PCF} ]]; then
     PCF=""
 fi
 
-if [ -z $NET ]; then
+if [[ -z ${NET} ]]; then
      echo "Please provide net file name"
      exit 1
 fi
 
-OUT_NOISY_WARNINGS=noisy_warnings-${DEVICE}_place.log
-
 echo "Generating coinstrains ..."
-symbiflow_generate_constraints $EBLIF $NET $PART $DEVICE $ARCH_DEF $PCF
+symbiflow_generate_constraints "${EBLIF}" "${NET}" "${PART}" "${DEVICE}" "${ARCH_DEF}" "${PCF}"
 
 run_vpr --fix_clusters constraints.place --place
 
diff --git a/symbiflow_route b/symbiflow_route
index ac8ede98..a4c8f4f2 100755
--- a/symbiflow_route
+++ b/symbiflow_route
@@ -1,15 +1,18 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
 
-source ${MYPATH}/env
-source ${VPRPATH}/vpr_common
+# shellcheck source=env
+source "${MYPATH}/env"
 
-parse_args "$@"
+OUT_NOISY_WARNINGS=noisy_warnings_route.log
+
+# shellcheck source=vpr_common
+source "${VPRPATH}/vpr_common"
 
-export OUR_NOISY_WARNINGS=noisy_warnings-${DEVICE}_pack.log
+parse_args "$@"
 
 run_vpr --route
 
diff --git a/symbiflow_synth b/symbiflow_synth
index e9c3910e..302991ee 100755
--- a/symbiflow_synth
+++ b/symbiflow_synth
@@ -1,14 +1,11 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
+# shellcheck source=env
+source "${MYPATH}/env"
 
-export SHARE_DIR_PATH=`realpath ${MYPATH}/../share/symbiflow`
-export TECHMAP_PATH=${SHARE_DIR_PATH}/techmaps/xc7_vpr/techmap
-
-
-export UTILS_PATH=${SHARE_DIR_PATH}/scripts
 SYNTH_TCL_PATH=${UTILS_PATH}/xc7/synth.tcl
 CONV_TCL_PATH=${UTILS_PATH}/xc7/conv.tcl
 SPLIT_INOUTS=${UTILS_PATH}/split_inouts.py
@@ -25,11 +22,9 @@ TOPNAME=0
 DEVICENAME=0
 PARTNAME=0
 
-for arg in $@; do
-	echo $arg
-	case "$arg" in
+for arg in "$@"; do
+	case "${arg}" in
 		-t|--top)
-			echo "adding top"
 			VERILOGLIST=0
 			XDCLIST=0
 			TOPNAME=1
@@ -65,16 +60,16 @@ for arg in $@; do
 			PARTNAME=1
 			;;
 		*)
-			if [ $VERILOGLIST -eq 1 ]; then
-				VERILOG_FILES+=($arg)
-			elif [ $XDCLIST -eq 1 ]; then
-				XDC_FILES+=($arg)
-			elif [ $TOPNAME -eq 1 ]; then
-				TOP=$arg
-			elif [ $DEVICENAME -eq 1 ]; then
-				DEVICE=$arg
-			elif [ $PARTNAME -eq 1 ]; then
-				PART=$arg
+			if [[ ${VERILOGLIST} -eq 1 ]]; then
+				VERILOG_FILES+=("${arg}")
+			elif [[ ${XDCLIST} -eq 1 ]]; then
+				XDC_FILES+=("${arg}")
+			elif [[ ${TOPNAME} -eq 1 ]]; then
+				TOP=${arg}
+			elif [[ ${DEVICENAME} -eq 1 ]]; then
+				DEVICE=${arg}
+			elif [[ ${PARTNAME} -eq 1 ]]; then
+				PART=${arg}
 			else
 				echo "Usage: synth [-t|--top <top module name> -v|--verilog <Verilog files list> [-x|--xdc <XDC files list>]"
 				echo "             [-d|--device <device type (e.g. artix7)>] [-p|--part <part name>]"
@@ -85,26 +80,24 @@ for arg in $@; do
 	esac
 done
 
-if [ ${#VERILOG_FILES[@]} -eq 0 ]; then
+if [[ ${#VERILOG_FILES[@]} -eq 0 ]]; then
 	echo "Please provide at least one Verilog file"
 	exit 1
 fi
 
-DATABASE_DIR=${DATABASE_DIR:=$(prjxray-config)}
-
-export TOP=${TOP}
+export TOP
 export USE_ROI="FALSE"
 export INPUT_XDC_FILE=${XDC_FILES[*]}
-export OUT_JSON=$TOP.json
+export OUT_JSON=${TOP}.json
 export OUT_SDC=${TOP}.sdc
 export SYNTH_JSON=${TOP}_io.json
 export OUT_SYNTH_V=${TOP}_synth.v
 export OUT_EBLIF=${TOP}.eblif
-export PART_JSON=`realpath ${DATABASE_DIR}/$DEVICE/$PART/part.json`
+PART_JSON=$(realpath "${DATABASE_DIR}/${DEVICE}/${PART}/part.json")
+export PART_JSON
 export OUT_FASM_EXTRA=${TOP}_fasm_extra.fasm
-export PYTHON3=${PYTHON3:=$(which python3)}
 LOG=${TOP}_synth.log
 
-yosys -p "tcl ${SYNTH_TCL_PATH}" -l $LOG ${VERILOG_FILES[*]}
-python3 ${SPLIT_INOUTS} -i ${OUT_JSON} -o ${SYNTH_JSON}
-yosys -p "read_json $SYNTH_JSON; tcl ${CONV_TCL_PATH}"
+yosys -p "tcl ${SYNTH_TCL_PATH}" -l "${LOG}" "${VERILOG_FILES[@]}"
+python3 "${SPLIT_INOUTS}" -i "${OUT_JSON}" -o "${SYNTH_JSON}"
+yosys -p "read_json ${SYNTH_JSON}; tcl ${CONV_TCL_PATH}"
diff --git a/symbiflow_write_bitstream b/symbiflow_write_bitstream
index f3ee2e1b..ca970eaf 100755
--- a/symbiflow_write_bitstream
+++ b/symbiflow_write_bitstream
@@ -1,22 +1,23 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
 
-source ${MYPATH}/env
+# shellcheck source=env
+source "${MYPATH}/env"
 echo "Writing bitstream ..."
 
-FRM2BIT=""
-if [ ! -z ${FRAMES2BIT} ]; then
-	FRM2BIT="--frm2bit ${FRAMES2BIT}"
+FRM2BIT=()
+if [[ -v FRAMES2BIT ]]; then
+	FRM2BIT=(--frm2bit "${FRAMES2BIT}")
 fi
 
 OPTS=d:f:b:p:
 LONGOPTS=device:,fasm:,bit:,part:
 
-PARSED_OPTS=`getopt --options=${OPTS} --longoptions=${LONGOPTS} --name $0 -- $@`
-eval set -- ${PARSED_OPTS}
+PARSED_OPTS=$(getopt --options="${OPTS}" --longoptions="${LONGOPTS}" --name "$0" -- "$@")
+eval set -- "${PARSED_OPTS}"
 
 DEVICE=""
 FASM=""
@@ -44,33 +45,37 @@ while true; do
 		--)
 			break
 			;;
+		*)
+			echo "Usage:"
+			echo "symbiflow_write_bitstream (-f|--fasm) FASM_INPUT_FILE (-b|--bit) BIT_INPUT_FILE"
+			echo "                          [(-d|--device) DEVICE_TYPE] [(-p|--part) PART_NAME]"
+			exit 1
 	esac
 done
 
-DATABASE_DIR=${DATABASE_DIR:=$(prjxray-config)}
-
-if [ -z $DEVICE ]; then
-	# Try to find device name. Accept only when exactly one is found
-	PART_DIRS=(${DATABASE_DIR}/*/${PART})
-	if [ ${#PART_DIRS[@]} -eq 1 ]; then
-		DEVICE=$(basename $(dirname "${PART_DIRS[0]}"))
-	else
-		echo "Please provide device name"
-		exit 1
-	fi
+if [[ -z ${DEVICE} ]]; then
+	DEVICE=$(find_device_from_part "${PART}")
 fi
 
-DBROOT=`realpath ${DATABASE_DIR}/${DEVICE}`
+DBROOT=$(realpath "${DATABASE_DIR}/${DEVICE}")
 
-if [ -z $FASM ]; then
+if [[ -z ${FASM} ]]; then
 	echo "Please provide fasm file name"
 	exit 1
 fi
 
-if [ -z $BIT ]; then
+if [[ -z ${BIT} ]]; then
 	echo "Please provide bit file name"
 	exit 1
 fi
 
-xcfasm --db-root ${DBROOT} --part ${PART} --part_file ${DBROOT}/${PART}/part.yaml --sparse --emit_pudc_b_pullup --fn_in ${FASM} --bit_out ${BIT} ${FRM2BIT}
+xcfasm \
+	--db-root "${DBROOT}" \
+	--part "${PART}" \
+	--part_file "${DBROOT}/${PART}/part.yaml" \
+	--sparse \
+	--emit_pudc_b_pullup \
+	--fn_in "${FASM}" \
+	--bit_out "${BIT}" \
+	"${FRM2BIT[@]}"
 
diff --git a/symbiflow_write_fasm b/symbiflow_write_fasm
index ec4f8561..d4ea43ba 100755
--- a/symbiflow_write_fasm
+++ b/symbiflow_write_fasm
@@ -1,26 +1,28 @@
 #!/bin/bash
-set -e
+set -eu
 
-MYPATH=`realpath $0`
-MYPATH=`dirname ${MYPATH}`
+MYPATH=$(realpath "$0")
+MYPATH=$(dirname "${MYPATH}")
 
-source ${MYPATH}/env
-source ${VPRPATH}/vpr_common
+# shellcheck source=env
+source "${MYPATH}/env"
+
+OUT_NOISY_WARNINGS=noisy_warnings_fasm.log
+
+# shellcheck source=vpr_common
+source "${VPRPATH}/vpr_common"
 
 parse_args "$@"
 
 TOP="${EBLIF%.*}"
 FASM_EXTRA=${TOP}_fasm_extra.fasm
 
-export OUT_NOISY_WARNINGS=noisy_warnings-${DEVICE}_fasm.log
-
 run_genfasm
 
-echo "FASM extra: $FASM_EXTRA"
-if [ -f $FASM_EXTRA ]; then
+if [[ -f ${FASM_EXTRA} ]]; then
 	echo "writing final fasm"
-	cat ${TOP}.fasm $FASM_EXTRA > tmp.fasm
-	mv tmp.fasm ${TOP}.fasm
+	cat "${TOP}.fasm" "${FASM_EXTRA}" > tmp.fasm
+	mv tmp.fasm "${TOP}.fasm"
 fi
 
 mv vpr_stdout.log fasm.log
diff --git a/vpr_common b/vpr_common
index 8a831587..fd25a465 100644
--- a/vpr_common
+++ b/vpr_common
@@ -1,6 +1,8 @@
 #!/bin/bash
 
-if [ -z $VPR_OPTIONS ]; then
+[[ -v SHARE_DIR_PATH ]] || { echo "Error: env must be sourced before vpr_common"; exit 1; }
+
+if [[ -z ${VPR_OPTIONS:+} ]]; then
      echo "Using default VPR options."
      VPR_OPTIONS="@VPR_ARGS@"
 fi
@@ -10,7 +12,7 @@ function parse_args {
      OPTS=d:e:p:n:P:s:a:
      LONGOPTS=device:,eblif:,pcf:,net:,part:,sdc:,additional_vpr_options:
 
-     PARSED_OPTS=`getopt --options=${OPTS} --longoptions=${LONGOPTS} --name $0 -- "$@"`
+     PARSED_OPTS=$(getopt --options="${OPTS}" --longoptions="${LONGOPTS}" --name "$0" -- "$@")
      eval set -- "${PARSED_OPTS}"
 
      DEVICE=""
@@ -49,66 +51,58 @@ function parse_args {
                     shift 2
                     ;;
                -a|--additional_vpr_options)
-                    ADDITIONAL_VPR_OPTIONS="$2"
+                    read -ra ADDITIONAL_VPR_OPTIONS <<<"$2"
+                    VPR_OPTIONS+=("${ADDITIONAL_VPR_OPTIONS[@]}")
                     shift 2
 		    ;;
                --)
                     break
                     ;;
+               *)
+                    echo "Unknown argument: $1"
+                    exit 1
+                    ;;
           esac
      done
 
-     if [ -z $DEVICE ] && [ -n $PART ]; then
-          # Try to find device name. Accept only when exactly one is found
-          PART_DIRS=(${MYPATH}/../share/symbiflow/arch/*/${PART})
-          if [ ${#PART_DIRS[@]} -eq 1 ]; then
-               DEVICE=$(basename $(dirname "${PART_DIRS[0]}"))
-          fi
-     fi
-     if [ -z $DEVICE ]; then
-          echo "Please provide device name"
-          exit 1
+     if [[ -z ${DEVICE} ]]; then
+          DEVICE=$(find_device_from_part "${PART}")
      fi
 
-     if [ -z $EBLIF ]; then
+     if [[ -z ${EBLIF} ]]; then
           echo "Please provide blif file name"
           exit 1
      fi
 
-     export DEVICE=$DEVICE
-     export EBLIF=$EBLIF
-     export PCF=$PCF
-     export NET=$NET
-     export SDC=$SDC
-     export VPR_OPTIONS="$VPR_OPTIONS $ADDITIONAL_VPR_OPTIONS"
+     export DEVICE EBLIF PCF NET SDC VPR_OPTIONS
 
-     export ARCH_DIR=`realpath ${MYPATH}/../share/symbiflow/arch/$DEVICE`
+     export ARCH_DIR=${SHARE_DIR_PATH}/arch/${DEVICE}
      export ARCH_DEF=${ARCH_DIR}/arch.timing.xml
      export LOOKAHEAD=${ARCH_DIR}/rr_graph_${DEVICE}.lookahead.bin
      export RR_GRAPH=${ARCH_DIR}/rr_graph_${DEVICE}.rr_graph.real.bin
      export RR_GRAPH_XML=${ARCH_DIR}/rr_graph_${DEVICE}.rr_graph.real.xml
      export PLACE_DELAY=${ARCH_DIR}/rr_graph_${DEVICE}.place_delay.bin
-     export DEVICE_NAME=`echo $DEVICE | sed -n 's/_/-/p'`
+     export DEVICE_NAME=${DEVICE//_/-}
 }
 
 function run_vpr {
      set -e
 
-     SDC_OPTIONS=""
-     if [ ! -z $SDC ]
+     SDC_OPTIONS=()
+     if [[ -n ${SDC} ]]
      then
-          SDC_OPTIONS="--sdc_file $SDC"
+          SDC_OPTIONS=(--sdc_file "${SDC}")
      fi
 
-     vpr ${ARCH_DEF} \
-         ${EBLIF} \
-         --device ${DEVICE_NAME} \
-         ${VPR_OPTIONS} \
-         --read_rr_graph ${RR_GRAPH} \
-         --read_router_lookahead ${LOOKAHEAD} \
-         --read_placement_delay_lookup ${PLACE_DELAY} \
-         ${SDC_OPTIONS} \
-         $@
+     vpr "${ARCH_DEF}" \
+         "${EBLIF}" \
+         --device "${DEVICE_NAME}" \
+         "${VPR_OPTIONS[@]}" \
+         --read_rr_graph "${RR_GRAPH}" \
+         --read_router_lookahead "${LOOKAHEAD}" \
+         --read_placement_delay_lookup "${PLACE_DELAY}" \
+         "${SDC_OPTIONS[@]}" \
+         "$@"
 
      return $?
 }
@@ -116,12 +110,12 @@ function run_vpr {
 function run_genfasm {
      set -e
 
-     genfasm ${ARCH_DEF} \
-         ${EBLIF} \
-         --device ${DEVICE_NAME} \
-         ${VPR_OPTIONS} \
-         --read_rr_graph ${RR_GRAPH} \
-         $@
+     genfasm "${ARCH_DEF}" \
+         "${EBLIF}" \
+         --device "${DEVICE_NAME}" \
+         "${VPR_OPTIONS[@]}" \
+         --read_rr_graph "${RR_GRAPH}" \
+         "$@"
 
      return $?
 }
@@ -129,11 +123,11 @@ function run_genfasm {
 function run_vpr_xml_rr_graph {
      set -e
 
-     vpr ${ARCH_DEF} \
-          ${EBLIF} \
-          --read_rr_graph ${RR_GRAPH}
-          --write_rr_graph ${RR_GRAPH_XML}
-          $@
+     vpr "${ARCH_DEF}" \
+          "${EBLIF}" \
+          --read_rr_graph "${RR_GRAPH}" \
+          --write_rr_graph "${RR_GRAPH_XML}" \
+          "$@"
 
      return $?
 }