91225 packages found. Page 740 of 1825.

« First ‹ Previous 1 .. 735 736 737 738 739 740 741 742 743 744 745 .. 1825 Next › Last »

Name Version Votes Popularity? Description Maintainer Last Updated
nautilus-megasync 5.2.0-1 45 0.08 Upload your files to your Mega account from nautilus. levinit 2023-08-14 12:38 (UTC)
moonplayer-plugins 2022.01.30-1 2 0.00 Plugins for Moon Player levinit 2024-03-13 12:17 (UTC)
moedict 1.1.1-1 5 0.00 Chinese Dictionary漢語詞典-萌典/mengdian levinit 2017-11-20 06:11 (UTC)
mockitt 1.2.5-1 4 0.00 A prototyping & collaboration tool.墨刀/modao/mockitt levinit 2022-10-15 13:06 (UTC)
grub-themes-solarized-dark-materialized 1.0-2 2 0.00 Solarized-Dark-Materialized grub2 theme levinit 2018-01-06 05:06 (UTC)
gimp-plugin-layers-to-divs 0.1-1 3 0.00 Gimp plugin to create html divs from gimp layers levinit 2017-09-07 14:13 (UTC)
gimp-plugin-export-layers 3.3.5-1 12 0.09 GIMP plug-in that exports layers as separate images. levinit 2023-02-05 22:54 (UTC)
clamtk-gnome 6.16-1 13 0.02 a simple plugin for ClamTk to allow a right-click, context menu scan of files or folders in the Nautilus file manager. levinit 2024-03-11 23:29 (UTC)
adhosts 0.5-1 2 0.00 update blocking ads hosts.更新屏蔽广告的hosts. levinit 2022-04-13 07:51 (UTC)
xrt-xocl-dkms-git r7456.50f17b1d5-1 0 0.00 Drivers for Xilinx runtime (XRT) leuko 2024-01-24 10:35 (UTC)
xrt-git r7456.50f17b1d5-1 0 0.00 Xilinx runtime for Ultrascale, Versal and MPSoC-based FPGAs leuko 2024-01-24 10:35 (UTC)
xpra-html5-git 8.0.r27.gf133a43-1 1 0.00 HTML5 client for Xpra leuko 2023-07-23 17:47 (UTC)
xpra-git 4.4.r1887.g4d73c3644-1 12 0.49 multi-platform screen and application forwarding system screen for X11 leuko 2023-07-22 18:23 (UTC)
riscv64-elf-binutils-git gdb.13.branchpoint.r1831.gd466f7492ec-1 0 0.00 A set of programs to assemble and manipulate binary and object files for the RISCV64 (bare-metal) target leuko 2023-04-03 15:19 (UTC)
python-ipycanvas-git r473.b5cf121-1 0 0.00 Interactive widgets library exposing the browser's Canvas API leuko 2024-02-11 09:06 (UTC)
nextcloud-spreed-signaling 1.2.3-1 0 0.00 Standalone signaling server for Nextcloud Talk. leuko 2024-01-31 17:04 (UTC)
jupyter-nbgrader-git v0.9.1.r1.g2ef44515-2 0 0.00 A system for assigning and grading notebooks leuko 2023-10-28 21:05 (UTC)
jupyter-nbgrader 0.9.2-1 0 0.00 A system for assigning and grading notebooks leuko 2024-03-27 10:15 (UTC)
movie-monad 0.0.7.0_0-1 4 0.00 A free and simple to use video player made with Haskell. lettier 2018-12-11 06:06 (UTC)
gifcurry 6.0.1.0_0-1 18 0.00 The open-source, Haskell-built video editor for GIF makers. lettier 2020-10-13 04:16 (UTC)
processing-git 4.3.r24.g6a2cf8cda-1 0 0.00 Programming environment for creating images, animations and interactions letorbi 2023-12-22 12:05 (UTC)
processing-examples 4.3-1 3 0.04 Examples for Processing letorbi 2023-08-20 18:56 (UTC)
icu70 70.1-2 2 0.00 International Components for Unicode library letorbi 2023-12-22 11:23 (UTC)
gnome-shell-extension-draw-on-your-screen2-git 12.r77.ga7469e2-1 0 0.00 A drawing extension for the GNOME Shell. letorbi 2023-12-22 11:54 (UTC)
gnome-shell-extension-desktop-icons-ng-git 47.0.7.r6.gdf4108a-1 2 0.32 A fork from the official desktop icons project, with several enhancements like Drag'n'Drop. letorbi 2023-12-22 11:34 (UTC)
geary-git 44.0.r86.gf39839a92-1 12 0.00 An email application built around conversations, for the GNOME 3 desktop. letorbi 2023-12-22 10:36 (UTC)
yosys-nightly 1:20240328_yosys_0.39_125_gd73f71e81-2 1 0.00 Yosys Open SYnthesis Suite, A framework for RTL synthesis lethalbit 2024-03-28 00:00 (UTC)
symbiyosys-nightly 1:5d19e46_20211125-1 0 0.00 Front-end for Yosys-based formal verification flows lethalbit 2021-11-25 00:00 (UTC)
sby-nightly 1:20240328_yosys_0.39-1 0 0.00 Front-end for Yosys-based formal verification flows lethalbit 2024-03-28 00:00 (UTC)
prjtrellis-nightly 1:20240328_1.4_66_g2dab009-1 0 0.00 Documenting the Lattice ECP5 bit-stream format. lethalbit 2024-03-28 00:00 (UTC)
prjoxide-nightly 1:20240328_30712ff-1 0 0.00 Documenting Lattice's 28nm FPGA parts lethalbit 2024-03-28 00:00 (UTC)
opencircuitdesign-netgen-git 1:@bfb01e0-2 0 0.00 netlist LVS tool lethalbit 2022-03-08 01:20 (UTC)
nextpnr-nexus-nightly 1:20240328_nextpnr_0.7_19_g7f9f75c0-1 1 0.00 nextpnr portable FPGA place and route tool - for nexus lethalbit 2024-03-28 00:00 (UTC)
nextpnr-ice40-nightly 1:20240328_nextpnr_0.7_19_g7f9f75c0-1 3 0.03 nextpnr portable FPGA place and route tool - for ice40 lethalbit 2024-03-28 00:00 (UTC)
nextpnr-generic-nightly 1:20240328_nextpnr_0.7_19_g7f9f75c0-1 1 0.00 nextpnr portable FPGA place and route tool - generic lethalbit 2024-03-28 00:00 (UTC)
nextpnr-ecp5-nightly 1:20240328_nextpnr_0.7_19_g7f9f75c0-1 1 0.00 nextpnr portable FPGA place and route tool - for ecp5 lethalbit 2024-03-28 00:00 (UTC)
nextpnr-all-nightly 1:20230528_nextpnr_0.6_11_ge5a5de53-1 1 0.02 nextpnr portable FPGA place and route tool - all FPGA architectures lethalbit 2023-05-28 00:00 (UTC)
mistral-nightly 1:20230606_d6bd02c-1 0 0.00 Cyclone V bitstream reverse-engineering project lethalbit 2023-06-06 12:05 (UTC)
mcy-nightly 1:20240328_yosys_0.39-1 0 0.00 Mutation Cover with Yosys lethalbit 2024-03-28 00:00 (UTC)
icestorm-nightly 1:20240328_1a40ae7-1 1 0.00 Lattice iCE40 FPGAs Bitstream Documentation lethalbit 2024-03-28 00:00 (UTC)
eqy-nightly 1:20240328_yosys_0.38-1 0 0.00 Equivalence Checking with Yosys lethalbit 2024-03-28 00:00 (UTC)
s-tui-git v0.8.3.r115.g37ca30c-2 11 0.00 Terminal UI stress test and monitoring tool lesto 2020-09-07 10:53 (UTC)
rust-xtensa-git r97629.d7b76db-1 0 0.00 Rust for ESP32 xtensa. lesto 2019-11-08 22:37 (UTC)
processing 4.3-4 16 0.01 Programming environment for creating images, animations and interactions lesto 2023-12-22 11:59 (UTC)
pacman-parallelizer 10-1 2 0.00 A minimal package downloader for pacman, using aria2 lesto 2020-11-07 11:45 (UTC)
ksysguard-gpu 0.5-4 2 0.00 gpu visualization for ksysguard lesto 2020-05-17 16:59 (UTC)
freedns-daemon 2.0-2 2 0.00 Lighweight, barebone and almost dependency free daemon for freedns.afraid.org lesto 2020-05-17 16:57 (UTC)
ttf-liberation-sans-narrow 1.07.6-1 16 0.00 Red Hat's Liberation Sans Narrow lestb 2019-10-27 03:49 (UTC)
python2-lineprofiler 1.0-2 7 0.00 Line-by-line profiler. lestb 2019-10-27 00:11 (UTC)
log2ram 1.6.1-1 5 0.02 ramlog like for systemd (Put log into a ram folder) lestb 2022-04-30 11:26 (UTC)

91225 packages found. Page 740 of 1825.

« First ‹ Previous 1 .. 735 736 737 738 739 740 741 742 743 744 745 .. 1825 Next › Last »