Package Details: eqy-nightly 1:20240423_yosys_0.40_9_gb3e5464-1

Git Clone URL: https://aur.archlinux.org/eqy-nightly.git (read-only, click to copy)
Package Base: eqy-nightly
Description: Equivalence Checking with Yosys
Upstream URL: https://github.com/YosysHQ/eqy
Licenses: custom:ISC
Submitter: lethalbit
Maintainer: lethalbit
Last Packager: lethalbit
Votes: 0
Popularity: 0.000000
First Submitted: 2023-04-02 17:59 (UTC)
Last Updated: 2024-04-23 00:00 (UTC)

Dependencies (7)

Required by (0)

Sources (1)

Latest Comments

micwoj92 commented on 2023-11-02 00:07 (UTC)

That "cd .." from package() is not needed.