Package Details: nextpnr-xilinx-git 2:r2994.f7938759-1

Git Clone URL: https://aur.archlinux.org/nextpnr-xilinx-git.git (read-only, click to copy)
Package Base: nextpnr-xilinx-git
Description: nextpnr portable FPGA place and route tool - for Xilinx
Upstream URL: https://github.com/gatecat/nextpnr-xilinx
Licenses: custom:ISC
Conflicts: nextpnr
Submitter: ivanovp
Maintainer: ivanovp (xiretza)
Last Packager: xiretza
Votes: 0
Popularity: 0.000000
First Submitted: 2021-11-17 20:36 (UTC)
Last Updated: 2024-03-12 22:24 (UTC)

Dependencies (11)

Required by (0)

Sources (2)

Latest Comments

xiretza commented on 2022-06-07 15:57 (UTC)

Using prjxray-db>=r258 as a dependency means that once the repo package is updated, users can just use that one and don't have to build prjxray-db-git anymore, all without this package having to be changed.

The patch has been merged upstream now, by answering y you undid the patch, which broke the build :) I've just removed the patch and pushed an update, should be fine now.

ivanovp commented on 2022-06-05 09:23 (UTC)

@xiretza: first, thanks for your effort! Currently only prjxray-db-git meets the version criteria. Would not be better to make prjxray-db-git as dependency? What do you think? I've tested the package generation and it stopped at patching ==> Starting prepare()... patching file xilinx/python/xilinx_device.py Reversed (or previously applied) patch detected! Assume -R? [n] y ..then stopped at xc7a35tcpg236-1: ``### Generating device xc7a200tsbg484-1 ### Exporting tile and site type data... Exporting nodes... Exporting tile and site instances...

Generating device xc7a35tcpg236-1

Traceback (most recent call last): File "nextpnr-xilinx/xilinx/python/bbaexport.py", line 350, in <module> main() File "nextpnr-xilinx/xilinx/python/bbaexport.py", line 31, in main d = import_device(args.device, xraydb_root, metadata_root) File "/home/ivanovp/build/nextpnr-xilinx-git/src/nextpnr-xilinx/xilinx/python/xilinx_device.py", line 458, in import_device with open(prjxray_root + "/" + fabricname + "/tilegrid.json") as gf: FileNotFoundError: [Errno 2] No such file or directory: '/usr/share/xray/database/artix7/xc7a35t/tilegrid.json'``

Build finished after removing the xc7a35 devices from the list.

xiretza commented on 2022-06-04 18:05 (UTC)

I've pushed my changes. I'm using it right now, the flow roughly works like this:

  1. run synth_xilinx -flatten in yosys, export the netlist as with write_json
  2. run nextpnr-xilinx with --json for the input and --fasm for the output file (plus --chipdb /usr/share/nextpnr/xilinx-chipdb/*.bin and --xdc)
  3. dump the .fasm into fasm2frames (from prjxray-tools) to get .frames
  4. dump the .frames into xc7frames2bit (also from prjxray-tools) to get a bitstream

ivanovp commented on 2022-06-04 08:07 (UTC)

@xiretza: I added you as co-maintainer. I was planning to use nextpnr-xilinx, but somehow stucked. I don't remember if I was able to generate even one bitstream for my FPGA using this tool. I had better luck with nextpnr+Lattice iCE40. If it works for you, you should write a tutorial! (If it was not done by someone else in the meantime.)

xiretza commented on 2022-06-04 07:52 (UTC)

Hi! I've got a couple patches for this package (it currently doesn't build at all). I can send them to you by email, I could push the repo somewhere else so you can pull them in, or you could just add me as a co-maintainer so I can push them myself - what do you prefer?