Package Details: xilinx-ise 14.7-1

Git Clone URL: https://aur.archlinux.org/xilinx-ise.git (read-only, click to copy)
Package Base: xilinx-ise
Description: Xilinx ISE Design Suite
Upstream URL: https://www.xilinx.com/products/design-tools/ise-design-suite.html
Licenses: custom
Submitter: cyrozap
Maintainer: cyrozap
Last Packager: cyrozap
Votes: 14
Popularity: 0.000002
First Submitted: 2016-03-13 04:16 (UTC)
Last Updated: 2022-06-04 00:18 (UTC)

Dependencies (9)

Required by (1)

Sources (3)

Latest Comments

« First ‹ Previous 1 2 3 4 5 Next › Last »

ssaury commented on 2020-03-31 09:39 (UTC)

Back to normal here too. I had tried after the update but it looks like it only worked after the PC was power cycled. :)

Emil commented on 2020-03-30 14:29 (UTC)

I have no idea what changed, but here it works again after the last updates.

ssaury commented on 2020-03-26 09:24 (UTC)

This has broken up for me too recently on Manjaro. The small splash screen listing all the libraries being loaded pops up and then disappear at some point with nothing more happening. If running the ise command on the terminal I get: "Floating point exception (core dumped)" Not sure if there is an option to get more verbosity or how to get more of an idea of what is going wrong.

Emil commented on 2020-02-18 15:50 (UTC) (edited on 2020-02-18 15:51 (UTC) by Emil)

A recent upgrade (of gcc-lib I think) broke this for me. valgrind output if that would be of any use:

==3331== Memcheck, a memory error detector                                                                                             
==3331== Copyright (C) 2002-2017, and GNU GPL'd, by Julian Seward et al.
==3331== Using Valgrind-3.15.0-608cb11914-20190413X and LibVEX; rerun with -h for copyright info
==3331== Command: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/ise                                                                            
==3331==           
--3331-- Valgrind options:                                         
--3331--    -v                                                                                                                         
--3331-- Contents of /proc/version:
--3331--   Linux version 5.4.20-1-lts (linux-lts@archlinux) (gcc version 9.2.1 20200130 (Arch Linux 9.2.1+20200130-2)) #1 SMP Sat, 15 Feb 2020 00:19:19 +0000                                                                                                                 
--3331--                
--3331-- Arch and hwcaps: AMD64, LittleEndian, amd64-cx16-lzcnt-rdtscp-sse3-ssse3-avx-avx2-bmi-f16c-rdrand
--3331-- Page sizes: currently 4096, max supported 4096                                                                                
--3331-- Valgrind library directory: /usr/lib/valgrind
--3331-- Reading syms from /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/ise
--3331--    object doesn't have a symbol table
--3331-- Reading syms from /usr/lib/ld-2.31.so
--3331-- Reading syms from /usr/lib/valgrind/memcheck-amd64-linux
--3331--    object doesn't have a dynamic symbol table
--3331-- Scheduler: using generic scheduler lock implementation.
--3331-- Reading suppressions file: /usr/lib/valgrind/default.supp
==3331== embedded gdbserver: reading from /tmp/vgdb-pipe-from-vgdb-to-3331-by-emil-on-???
==3331== embedded gdbserver: writing to   /tmp/vgdb-pipe-to-vgdb-from-3331-by-emil-on-???
==3331== embedded gdbserver: shared mem   /tmp/vgdb-pipe-shared-mem-vgdb-3331-by-emil-on-???
==3331== 
==3331== TO CONTROL THIS PROCESS USING vgdb (which you probably
==3331== don't want to do, unless you know exactly what you're doing,
==3331== or are doing some strange experiment):
==3331==   /usr/lib/valgrind/../../bin/vgdb --pid=3331 ...command...
==3331== 
==3331== TO DEBUG THIS PROCESS USING GDB: start GDB like this
==3331==   /path/to/gdb /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/ise
==3331== and then give GDB the following command
==3331==   target remote | /usr/lib/valgrind/../../bin/vgdb --pid=3331
==3331== --pid is optional if only one valgrind process is running
==3331== 
==3331== TO CONTROL THIS PROCESS USING vgdb (which you probably
==3331== don't want to do, unless you know exactly what you're doing,
==3331== or are doing some strange experiment):
==3331==   /usr/lib/valgrind/../../bin/vgdb --pid=3331 ...command...
==3331== 
==3331== TO DEBUG THIS PROCESS USING GDB: start GDB like this
==3331==   /path/to/gdb /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/ise
==3331== and then give GDB the following command
==3331==   target remote | /usr/lib/valgrind/../../bin/vgdb --pid=3331
==3331== --pid is optional if only one valgrind process is running
==3331== 
--3331-- REDIR: 0x40212b0 (ld-linux-x86-64.so.2:strlen) redirected to 0x580c7532 (vgPlain_amd64_linux_REDIR_FOR_strlen)
--3331-- REDIR: 0x4021080 (ld-linux-x86-64.so.2:index) redirected to 0x580c754c (vgPlain_amd64_linux_REDIR_FOR_index)
--3331-- Reading syms from /usr/lib/valgrind/vgpreload_core-amd64-linux.so
--3331-- Reading syms from /usr/lib/valgrind/vgpreload_memcheck-amd64-linux.so
==3331== WARNING: new redirection conflicts with existing -- ignoring it
--3331--     old: 0x040212b0 (strlen              ) R-> (0000.0) 0x580c7532 vgPlain_amd64_linux_REDIR_FOR_strlen
--3331--     new: 0x040212b0 (strlen              ) R-> (2007.0) 0x0483cda0 strlen
--3331-- REDIR: 0x401da90 (ld-linux-x86-64.so.2:strcmp) redirected to 0x483dc90 (strcmp)
--3331-- REDIR: 0x4021810 (ld-linux-x86-64.so.2:mempcpy) redirected to 0x4841670 (mempcpy)
--3331-- Reading syms from /usr/lib/libdl-2.31.so
--3331--    object doesn't have a symbol table
--3331-- Reading syms from /usr/lib/libpthread-2.31.so
--3331-- Reading syms from /usr/lib/libstdc++.so.6.0.28
--3331-- Reading syms from /usr/lib/libm-2.31.so
--3331--    object doesn't have a symbol table
--3331-- Reading syms from /usr/lib/libgcc_s.so.1
--3331-- Reading syms from /usr/lib/libc-2.31.so
--3331-- REDIR: 0x4caac40 (libc.so.6:memmove) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9fc0 (libc.so.6:strncpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caaf70 (libc.so.6:strcasecmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca98e0 (libc.so.6:strcat) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caa020 (libc.so.6:rindex) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cac310 (libc.so.6:rawmemchr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc47f0 (libc.so.6:wmemchr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc4330 (libc.so.6:wcscmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caada0 (libc.so.6:mempcpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caabd0 (libc.so.6:bcmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9f50 (libc.so.6:strncmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9990 (libc.so.6:strcmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caad00 (libc.so.6:memset) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc42f0 (libc.so.6:wcschr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9eb0 (libc.so.6:strnlen) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9a70 (libc.so.6:strcspn) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caafc0 (libc.so.6:strncasecmp) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9a10 (libc.so.6:strcpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cab110 (libc.so.6:memcpy@@GLIBC_2.14) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc5a40 (libc.so.6:wcsnlen) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc4370 (libc.so.6:wcscpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caa060 (libc.so.6:strpbrk) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9940 (libc.so.6:index) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4ca9e70 (libc.so.6:strlen) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cb0750 (libc.so.6:memrchr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cab010 (libc.so.6:strcasecmp_l) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caab90 (libc.so.6:memchr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc4440 (libc.so.6:wcslen) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caa320 (libc.so.6:strspn) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caaf10 (libc.so.6:stpncpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4caaeb0 (libc.so.6:stpcpy) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cac350 (libc.so.6:strchrnul) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cab060 (libc.so.6:strncasecmp_l) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4d7c000 (libc.so.6:__strrchr_avx2) redirected to 0x483c7b0 (rindex)
--3331-- REDIR: 0x4ca5e00 (libc.so.6:malloc) redirected to 0x4839710 (malloc)
--3331-- REDIR: 0x4d7c1d0 (libc.so.6:__strlen_avx2) redirected to 0x483cc80 (strlen)
--3331-- REDIR: 0x4d7f1e0 (libc.so.6:__memcpy_avx_unaligned_erms) redirected to 0x4840690 (memmove)
--3331-- REDIR: 0x4caaab0 (libc.so.6:strstr) redirected to 0x482f1c0 (_vgnU_ifunc_wrapper)
--3331-- REDIR: 0x4cc2f90 (libc.so.6:__strstr_sse2_unaligned) redirected to 0x4841850 (strstr)
--3331-- REDIR: 0x4d77b10 (libc.so.6:__strncmp_avx2) redirected to 0x483d370 (strncmp)
--3331-- REDIR: 0x4973b40 (libstdc++.so.6:operator new[](unsigned long)) redirected to 0x483a4a0 (operator new[](unsigned long))
--3331-- REDIR: 0x4d7be10 (libc.so.6:__strchrnul_avx2) redirected to 0x48411e0 (strchrnul)
--3331-- REDIR: 0x4d7d710 (libc.so.6:__strcpy_avx2) redirected to 0x483cdd0 (strcpy)
--3331-- REDIR: 0x4d7c690 (libc.so.6:__strcat_avx2) redirected to 0x483c960 (strcat)
--3331-- REDIR: 0x4d775a0 (libc.so.6:__strspn_sse42) redirected to 0x4841ae0 (strspn)
--3331-- REDIR: 0x4d77320 (libc.so.6:__strcspn_sse42) redirected to 0x4841a00 (strcspn)
--3331-- REDIR: 0x4d7daa0 (libc.so.6:__strncpy_avx2) redirected to 0x483cf70 (strncpy)
--3331-- REDIR: 0x4c58cb0 (libc.so.6:putenv) redirected to 0x4841f00 (putenv)
--3331-- REDIR: 0x4d7bbe0 (libc.so.6:__strchr_avx2) redirected to 0x483c930 (index)
--3331-- REDIR: 0x4d7c370 (libc.so.6:__strnlen_avx2) redirected to 0x483cc20 (strnlen)
--3331-- REDIR: 0x4ca66b0 (libc.so.6:realloc) redirected to 0x483bd00 (realloc)
--3331-- REDIR: 0x4971ce0 (libstdc++.so.6:operator delete[](void*)) redirected to 0x483b520 (operator delete[](void*))
[1]    3331 segmentation fault (core dumped)  valgrind -v /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/ise

MaoCPU commented on 2020-02-07 23:33 (UTC)

I had the same problem right now. I replaced source=('file://Xilinx_ISE_DS_Lin_14.7_1015_1.tar') with source=('Xilinx_ISE_DS_Lin_14.7_1015_1.tar').

As a result the tar was extracted before prepare() and I was able to build the package.

df8oe commented on 2019-11-09 13:10 (UTC)

Does not build. I put xilix ise installer in AUR directory. Building walks through checking of md5sums (successfully), creates src subdirectory and places symlinks for 64 bit building, but then it fails finding unpacked installer folder. If I unpack manually in src directory folder is deleted after new try of building. Any hints?

markus-k commented on 2019-09-23 18:00 (UTC)

You have to download the Xilinx ISE installer from the Xilinx website yourself and place the file in the AUR directory where you are building from.