Package Details: ghdl-llvm-git 4.0.0dev.r8602.g97df73f72-1

Git Clone URL: https://aur.archlinux.org/ghdl-llvm-git.git (read-only, click to copy)
Package Base: ghdl-llvm-git
Description: VHDL simulator - LLVM back-end
Upstream URL: https://github.com/ghdl/ghdl
Licenses: GPL2
Conflicts: ghdl, ghdl-gcc-git, ghdl-mcode-git
Provides: ghdl, ghdl-git
Submitter: marzoul
Maintainer: marzoul (xiretza)
Last Packager: xiretza
Votes: 3
Popularity: 0.000000
First Submitted: 2015-12-02 23:00 (UTC)
Last Updated: 2023-05-09 19:49 (UTC)

Dependencies (9)

Required by (10)

Sources (1)

Latest Comments

1 2 Next › Last »

bcb commented on 2023-11-08 12:35 (UTC)

I had to add options=(!lto) to the PKGBUILD to get this to build -- either GHDL does not support link-time optimisation or it needs an extra dependency to support it.

(If you have LTO disabled in your makepkg.conf you won't notice a problem -- I only encountered it building in a clean chroot where that was the default.)

jorges commented on 2023-09-19 05:39 (UTC)

@jmalmari Could you elaborate more on how to build and install ghdl?

jmalmari commented on 2023-08-27 08:33 (UTC) (edited on 2023-09-16 10:47 (UTC) by jmalmari)

Got some installation trouble from crashing tests. Yay installed python-pyvhdlmodel-git for me but that version was incompatible. (It appears pyGHDL/dom/Aggregates.py and Expressions.py inherit classes from pyVHDLModel which now require the use of __slots__.)

Got 0.25.1 from pip and it worked:

makepkg # tests crashed
python -m venv venv
source venv/bin/activate
pip install -r srcdir/ghdl/testsuite/requirements.txt
makepkg # tests passed

raldone01 commented on 2023-05-06 17:24 (UTC)

The package python-pytooling-terminalui no longer exists. I think it now resides in python-pytooling directly.

xiretza commented on 2021-05-14 18:34 (UTC) (edited on 2021-05-14 18:34 (UTC) by xiretza)

Could you add the following before ./configure (as outlined in the wiki)? The recent upstream makefile changes broke debug builds because LLVM can't handle -fvar-tracking-assignments from DEBUG_C(XX)FLAGS.

CFLAGS=${CFLAGS/-fvar-tracking-assignments}
CXXFLAGS=${CXXFLAGS/-fvar-tracking-assignments}

marzoul commented on 2021-05-09 14:35 (UTC)

Thanks for reporting. I have updated the PKGBUILD with unconditional removal of ghwdump for now.

xiretza commented on 2021-05-09 11:00 (UTC)

Alright, the situation is the following: the GHW libraries and tooling are developed as part of ghdl and the sources are periodically synced over to gtkwave to maintain compatibility. gtkwave has always built and installed ghwdump, while ghdl only started doing this recently. Thus, for the latest releases available in the repos, gtkwave provides ghwdump and ghdl doesn't. As soon as ghdl cuts another release, this same conflict will arrive in the repos though, and we'll have to see how maintainers handle it then.

For now, maintaining parity with the repos and deleting ghwdump in ghdl-*-git is probably the best option.

Sven commented on 2021-05-09 10:44 (UTC)

@xiretza I asked the maintainer of gtkwave-gtk3 here on AUR. He suggested that ghwdump be removed from ghdl. Could you guys try to reach some agreement so that I can use ghdl2 and gtkwave together?

xiretza commented on 2021-05-09 10:40 (UTC)

That should be fixed in gtkwave, the upstream for GHW is ghdl.