Package Details: sby-nightly 1:20240426_yosys_0.40_4_g7dd287f-1

Git Clone URL: https://aur.archlinux.org/sby-nightly.git (read-only, click to copy)
Package Base: sby-nightly
Description: Front-end for Yosys-based formal verification flows
Upstream URL: https://github.com/YosysHQ/sby
Licenses: custom:ISC
Conflicts: symbiyosys-git
Replaces: symbiyosys-nightly
Submitter: lethalbit
Maintainer: lethalbit
Last Packager: lethalbit
Votes: 0
Popularity: 0.000000
First Submitted: 2022-02-19 07:41 (UTC)
Last Updated: 2024-04-26 00:00 (UTC)

Dependencies (7)

Sources (1)