1994 packages found. Page 3 of 40.

« First ‹ Previous 1 2 3 4 5 6 7 8 .. 40 Next › Last »

Name Version Votes Popularity? Description Maintainer Last Updated
vim-pacmanlog 1.2-1 5 0.00 Vim syntax highlighting for Pacman log files djmattyg007 2015-09-16 12:17 (UTC)
vim-logstash-git r11.6d96810-1 1 0.00 Vim highlights configuration files for logstash cgirard 2019-04-05 11:45 (UTC)
vim-log-highlighting 1.0.0.a-1 0 0.00 Syntax highlighting for generic log files in VIM graysky 2021-01-16 12:09 (UTC)
vim-httplog 0.5-3 0 0.00 Highlight access log of Apache, nginx, etc for vim/neovim naruto522ru 2023-06-19 19:09 (UTC)
vim-gtk-recent-git r6.14086b5-1 0 0.00 logs files opened in vim into GObject recent files lafleur 2020-09-13 21:51 (UTC)
vim-biosyntax-git 1518543961-2 1 0.00 Syntax Highlighting for Computational Biology, vim plugin iosonofabio 2019-03-22 17:48 (UTC)
victor 0.4-2 0 0.00 Command line tool to log activities and measure time spent ssiyad 2022-01-23 11:47 (UTC)
vhd2vl-git 2.5-1 1 0.00 Translate synthesizable VHDL into Verilog 2001 marzoul 2021-02-06 17:15 (UTC)
verysync2 2.2.0-1 0 0.00 A P2P sync tool simliar to Resilio Sync that can be used in China, syncing files via secure, distributed technology. isleizhang 2020-11-21 15:29 (UTC)
verysync 2.17.0-1 1 0.87 A P2P sync tool simliar to Resilio Sync that can be used in China, syncing files via secure, distributed technology. junyussh 2023-06-15 16:52 (UTC)
versionist 6.1.7-1 1 0.00 Flexible CHANGELOG generation toolkit that adapts to your commit conventions jakogut 2020-10-05 22:03 (UTC)
veriwell 2.8.7-1 6 0.00 The Verilog Simulator anatolik 2015-06-17 15:54 (UTC)
verilogx 0.1-1 0 0.00 A simple, easy, and fast Verilog simulator. rafiibrahim8 2022-04-24 17:37 (UTC)
verilog-format-git c169dd4-1 2 0.00 Console application for apply format to verilog file. playercc 2021-06-22 11:39 (UTC)
verilator-git r5486.0e4da3b0b-1 0 0.00 The fastest free Verilog HDL simulator Sequencer 2022-10-22 07:10 (UTC)
veridian-bin 0.0.0-5 1 0.02 A SystemVerilog Language Server kalex 2024-03-21 08:30 (UTC)
verible-git 0.0.r558.7fbda6835f-2 2 0.00 SystemVerilog parser, style-linter, and formatter accuminium 2023-11-11 21:04 (UTC)
verible-bin 0.0.3622-1 2 0.57 SystemVerilog parser, linter, formatter and etc from Google ildus 2024-03-23 07:09 (UTC)
verible 0.0r2037.g4cccc6b2-1 1 0.00 SystemVerilog(Verilog) Parser, Style-Linter, and Formatter from Google nullik 2022-03-19 11:44 (UTC)
vector_blf-git r480.g02f0a2f-1 0 0.00 This is a library to access Binary Log File (BLF) files from Vector Informatik. Murmele 2023-01-04 12:12 (UTC)
vdt 5.4.68-1 1 0.00 Visual Disk Test performs i/o tests on files, logical volumes, partitions or whole disks. masutu 2015-07-06 20:47 (UTC)
vc-dwim 1.10-1 0 0.00 GNU utilities for version control and maintaining changelog files orphan 2022-05-28 06:16 (UTC)
vapoursynth-plugin-removelogo-git v1.0.0.g2d7fec6-1 1 0.00 Plugin for Vapoursynth: removelogo (GIT version) sandsmark 2020-09-25 12:36 (UTC)
vapoursynth-plugin-delogohd-git 12.0.g7e39809-1 1 0.00 Plugin for Vapoursynth: delogohd (GIT version) sl1pkn07 2023-02-14 22:58 (UTC)
vapoursynth-plugin-delogo-git 0.4.0.g597ad7f-1 0 0.00 Plugin for Vapoursynth: delogo (GIT version) sl1pkn07 2022-03-13 02:04 (UTC)
vanessa-logger 0.0.10-1 2 0.00 Provides a generic logging layer that may be used to log to one or more of syslog, an open file handle or a file name. rafaelff 2017-12-10 21:28 (UTC)
vampire 4.5.1-1 0 0.00 A theorem prover for first-order logic patrick.luehne 2020-11-25 20:37 (UTC)
v2x-git 0.0.r616.g1325cb3-1 0 0.00 A tool for converting specialized annotated Verilog models into XML xiretza 2022-05-14 18:46 (UTC)
uvm-systemc 1.0_beta5-1 0 0.00 Universal Verification Methodology (UVM) in SystemC aquarius 2024-03-04 18:19 (UTC)
uvm-python-git r1174.26acd2b-1 0 0.00 Port of SystemVerilog Universal Verification Methodology (UVM) 1.2 to Python and cocotb mox 2021-03-06 11:44 (UTC)
usage-logging 1-1 0 0.00 Create daily log files with hour x minute rectangle diagram of device on/off status ivanp7 2022-11-18 12:52 (UTC)
unity-session-shortcuts 1.4-1 0 0.00 Allows shutdown, logout, and reboot from dash orphan 2022-11-22 10:14 (UTC)
ultracopier 2.2.6.9-1 4 0.05 Ultracopier is free and open source software licensed under GPL3 that acts as a replacement for files copy dialogs. Main features include: play/pause, speed limitation, on-error resume, error/collision management ahmedmoselhi 2023-12-02 11:21 (UTC)
ulogviewer-bin 4.0.9.331-1 0 0.00 Cross-Platform Universal Log Viewer. zxp19821005 2024-04-01 05:10 (UTC)
ulogd-git r1-1 1 0.00 Userspace logging daemon for netfilter/iptables related logging nfnty 2017-11-04 22:16 (UTC)
uhe-hive-vst 12092-1 4 0.00 Commercial virtual-analog synthesizer from u-he RX14 2023-04-12 18:48 (UTC)
uhdm-git r2067.496bb31-1 0 0.00 A complete modeling of the IEEE SystemVerilog Object Model xiretza 2023-09-24 19:59 (UTC)
udp514-journal 0.1.1-1 1 0.00 forward syslog from network (udp/514) to systemd-journald eworm 2023-03-09 08:26 (UTC)
uclogic-tools 5-1 6 0.00 Show diagnostic information from UC-Logic graphics tablets (rebranded as Huion, Yiynova, Ugee, Monoprice, Turcom and others) zan 2019-06-20 17:42 (UTC)
tztail-git r16.ceea0a6-1 0 0.00 tztail (TimeZoneTAIL) allows you to view logs in the timezone you want mcp 2018-11-10 22:43 (UTC)
tztail 1.1.0-1 0 0.00 tztail (TimeZoneTAIL) allows you to view logs in the timezone you want oowl 2018-11-23 08:34 (UTC)
typecho 1.2.1-1 1 0.00 A PHP Blogging Platform malacology 2023-10-06 15:08 (UTC)
twtxt 1.3.1-1 4 0.00 Decentralised, minimalist microblogging service for hackers. buckket 2022-11-12 22:27 (UTC)
twister-core-git 5090.323314eb-1 26 0.00 Twister core - p2p microblogging polylux 2021-05-07 07:44 (UTC)
twister-core 0.9.34-2 0 0.00 Twister core - p2p microblogging nyim 2016-08-28 13:18 (UTC)
twelf-git 20130819-4 1 0.00 An implementation of the logical framework LF soimort 2021-01-23 20:24 (UTC)
twelf 1.7.1-4 1 0.00 An implementation of the logical framework LF soimort 2021-05-07 15:34 (UTC)
turtle-git 0.7.r1.g48b9725-1 0 0.00 Manage your git repositories with easy-to-use dialogs in Nautilus. yochananmarqos 2024-03-31 18:53 (UTC)
turtle 0.8-1 0 0.00 Manage your git repositories with easy-to-use dialogs in Nautilus. yochananmarqos 2024-05-03 14:59 (UTC)
turtl-core-rs 0.1.2-5 1 0.00 Turtl's logic core, built in Rust neoninteger 2020-03-12 11:44 (UTC)

1994 packages found. Page 3 of 40.

« First ‹ Previous 1 2 3 4 5 6 7 8 .. 40 Next › Last »