Package Details: sv2v-git 0.0.r1.g4c3dcf5-1

Git Clone URL: https://aur.archlinux.org/sv2v-git.git (read-only, click to copy)
Package Base: sv2v-git
Description: SystemVerilog to Verilog conversion
Upstream URL: https://github.com/zachjs/sv2v
Licenses: custom: BSD3
Submitter: b1f6c1c4
Maintainer: b1f6c1c4
Last Packager: b1f6c1c4
Votes: 0
Popularity: 0.000000
First Submitted: 2022-01-07 08:51 (UTC)
Last Updated: 2022-01-07 08:51 (UTC)

Dependencies (4)

Required by (0)

Sources (1)