Package Details: symbiyosys-nightly 1:5d19e46_20211125-1

Git Clone URL: https://aur.archlinux.org/symbiyosys-nightly.git (read-only, click to copy)
Package Base: symbiyosys-nightly
Description: Front-end for Yosys-based formal verification flows
Upstream URL: https://github.com/YosysHQ/SymbiYosys
Licenses: custom:ISC
Conflicts: symbiyosys-git
Replaces: symbiyosys-git
Submitter: lethalbit
Maintainer: lethalbit
Last Packager: lethalbit
Votes: 0
Popularity: 0.000000
First Submitted: 2021-11-23 00:00 (UTC)
Last Updated: 2021-11-25 00:00 (UTC)

Dependencies (6)

Required by (0)

Sources (1)