Search Criteria
Package Details: yosys-nightly 1:20241124_0.47_117_g4b3c03dab-1
Package Actions
Git Clone URL: | https://aur.archlinux.org/yosys-nightly.git (read-only, click to copy) |
---|---|
Package Base: | yosys-nightly |
Description: | Yosys Open SYnthesis Suite, A framework for RTL synthesis |
Upstream URL: | https://yosyshq.net/yosys/ |
Licenses: | custom:ISC |
Conflicts: | yosys, yosys-git |
Provides: | yosys |
Replaces: | yosys, yosys-git |
Submitter: | lethalbit |
Maintainer: | lethalbit |
Last Packager: | lethalbit |
Votes: | 1 |
Popularity: | 0.000000 |
First Submitted: | 2021-09-16 21:19 (UTC) |
Last Updated: | 2024-11-24 00:00 (UTC) |
Dependencies (16)
- boost-libs
- libffi (libffi-gitAUR)
- python (python37AUR, python311AUR, python310AUR)
- readline (readline-gitAUR)
- tcl (tcl-fossilAUR, tcl84AUR)
- zlib (zlib-ng-compat-gitAUR, zlib-gitAUR, zlib-ng-compat)
- bison (byacc-bisonAUR, bison-gitAUR) (make)
- flex (flex-gitAUR) (make)
- gawk (gawk-gitAUR, busybox-coreutilsAUR) (make)
- gcc (gcc-gitAUR, gccrs-gitAUR, gcc11AUR, gcc-snapshotAUR) (make)
- git (git-gitAUR, git-glAUR) (make)
- iverilog (iverilog-gitAUR) (make)
- pkgconf (pkgconf-gitAUR) (make)
- protobuf (protobuf-gitAUR) (make)
- graphviz (optional) – Schematics display support
- xdot (xdot-gitAUR) (optional) – Design netlist display support
Required by (32)
- act-expropt-git (requires yosys)
- eqy-nightly
- eqy-nightly (make)
- f4pga-arch-defs-nightly-bin-toolchain (requires yosys)
- ghdl-yosys-plugin-git (requires yosys)
- icesugar-git (requires yosys)
- icesugar-nano-git (requires yosys)
- icesugar-pro-git (requires yosys)
- lsoracle-git (requires yosys)
- mcy-nightly
- nextpnr-all-nightly
- nextpnr-ecp5-nightly
- nextpnr-generic-nightly
- nextpnr-ice40-nightly
- nextpnr-machxo2-nightly
- nextpnr-nexus-nightly
- python-amaranth (requires yosys) (check)
- python-amaranth-git (requires yosys) (check)
- python-amaranth0.4.5 (requires yosys) (check)
- python-glasgow-git (requires yosys)
- Show 12 more...
Latest Comments
Auerhuhn commented on 2024-06-04 06:24 (UTC)
Thanks @lethalbit for the update!
lethalbit commented on 2024-06-04 03:04 (UTC)
Hey @Auerhuhn,
Yeah that's reasonable, I just updated the pkgbuild templates so tomorrow night they should be all set to go.
I also added it for the nextpnr packages, just in case:tm:
Auerhuhn commented on 2024-06-03 20:41 (UTC)
Hi @lethalbit!
Thanks for maintaining yosys-nightly. Would you mind adding a
provides
directive? For example:so that
yosys-nightly
with apkgver
of 20240603_yosys_0.41_126_g803703a83 would provideyosys=0.41
.That would allow
yosys-nightly
to work as a drop-in for requirements such asyosys>=0.40
(as found inpython-amaranth-git
.)Thanks in advance! Claudia aka Auerhuhn
lethalbit commented on 2022-02-19 08:42 (UTC)
@gururise yeah sorry that's been broken a while, an ABC update caused things to brake and the PKGBUILD was a touch incorrect, however that should be addressed and fixed now,
let me know if there is anything else~
gururise commented on 2021-11-28 07:05 (UTC) (edited on 2021-11-28 07:05 (UTC) by gururise)
I am getting the following error:
Switched to a new branch 'makepkg'
-> Creating working copy of yosys-abc git repo... Cloning into 'yosys-abc'... done.
fatal: invalid reference: 3fa90540 ==> ERROR: Failure while creating working copy of yosys-abc git repo Aborting... -> error making: yosys-nightly
ainola commented on 2021-11-25 03:18 (UTC) (edited on 2021-11-25 03:19 (UTC) by ainola)
@Xyne: It is? I don't see anything like that mentioned in the docs (I don't see any mention at all, to be honest). Looking at other -nightly packages suggests that they should have pkgver() functions like VCS packages.
Xyne commented on 2021-11-22 07:47 (UTC) (edited on 2021-11-22 07:48 (UTC) by Xyne)
Using a fixed commit is a valid method for posting nightly builds. There's no difference between that and using a dedicated nightly archive if that is upstream's intention.
I deleted symbiyosys-nightly without fully scrutinizing the PKGBUILD to check for the fixed commits in the source url. "#commit=..." should be inside the quotation marks so that it does not appear as a comment.
Please fix the URLs and address other issues with this PKGBUILD such as the useless "cd" commands. Use yosys-git as a template for your corrections. Then please do the same for symiyosys-nightly and re-upload it.
I apologize for the erroneous deletion.
FabioLolix commented on 2021-11-18 20:31 (UTC)
If there are nightlies use them as source.
Upload of pkgbuilds don't need permission from developers or third-party, following packaging guidelines is enough, automatic bump of pkgbuilds is not welcome, with your reasoning every -git,-svn,-hg pkgbuild could have a -nightly one. I'm not the one who made the deletion request.
lethalbit commented on 2021-11-18 15:36 (UTC)
FabioLolix, seeing as I work for YosysHQ, and this package has been given the green-light by them, I don't see why this and the symbiyosys-nightly packages need to be removed.
In the first place they are fundamentally different in the fact that the nightly packages are pinned to the latest commit at UTC 0 every day when the version is rolled, where as the -git package which needs to be re-installed to update it unless the version of the package is explicitly rolled.
In addition to this, yosys /does/ have nightlies, we have a CI job that bumps the version number between releases.
All in all, as an employee of YosysHQ, I don't see the need to remove this nor the symbiyosys-nightly packages unless a better argument than 'it is a dupe of the -git package' can be presented.
FabioLolix commented on 2021-11-17 19:45 (UTC)
Hello, while there are several things that need to be corrected in the pkgbuild, it should not have been uploaded in first place (there aren't nightly releases, yosys-git exist) so please ask for deletion and update your other pkgbuilds accordingly, the same is valid for symbiyosys-nightly