92063 packages found. Page 152 of 3683.

« First ‹ Previous 1 .. 147 148 149 150 151 152 153 154 155 156 157 .. 3683 Next › Last »

Name Version Votes Popularity? Description Maintainer Last Updated
spectre-cli-git 2.6_cli_5_116_g26c01a5-1 3 0.00 The command-line interface brings the Spectre algorithm to POSIX platforms, including Linux, *NIX, macOS and Windows. xkww3n 2023-06-09 06:22 (UTC)
zoomx 0.0.1-1 2 0.00 Screen magnifying zoom utility for X11 xklo 2021-02-21 02:39 (UTC)
zenbooru 0.4.6-1 3 0.00 A standalone client for image boards that supports Gelbooru v0.2, Danbooru (v1 & v2), Moebooru, and booru.org sites. xkero 2015-07-29 18:47 (UTC)
zabbix-agent2-plugin-postgresql 1:6.4.14-1 1 0.09 Loadable plugin for PostreSQL integration in Zabbix agent2 xiretza 2024-05-01 11:14 (UTC)
yosys-f4pga-plugins-git 1.20230906.r3.g7c89a55-1 0 0.00 Plugins for Yosys developed as part of the F4PGA project. xiretza 2023-09-24 20:38 (UTC)
vtr-git 8.0.0.r3718.g265904830-1 1 0.00 Open Source CAD Flow for FPGA Research xiretza 2021-06-04 08:39 (UTC)
v2x-git 0.0.r616.g1325cb3-1 0 0.00 A tool for converting specialized annotated Verilog models into XML xiretza 2022-05-14 18:46 (UTC)
usb2sniffer-qt-git r160.cf74d49-1 0 0.00 LambdaConcept lcsniff software for USB2Sniffer hardware xiretza 2020-10-01 09:24 (UTC)
uhdm-git r2067.496bb31-1 0 0.00 A complete modeling of the IEEE SystemVerilog Object Model xiretza 2023-09-24 19:59 (UTC)
tinycmmc-git r50.32eaa5b-1 0 0.00 Tiny CMake Module Collections xiretza 2022-06-27 09:06 (UTC)
surelog-git 1.75.r1.ge83d01f-1 0 0.00 SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. xiretza 2023-09-24 20:37 (UTC)
stickerpicker-git r78.99ced88-1 1 0.00 Element sticker picker widget xiretza 2022-05-23 17:52 (UTC)
spirv-headers-git 1:1.3.280.0.r3.g4f7b471-1 5 0.02 SPIR-V header files Git version xiretza 2024-04-01 19:58 (UTC)
soapysdr-git 3:0.8.1.r25.g9cbaa3c-1 22 0.00 Vendor and platform neutral SDR support library xiretza 2022-03-21 07:46 (UTC)
shelltestrunner 1.10-2 0 0.00 Easy, repeatable testing of CLI programs/commands xiretza 2024-03-20 17:15 (UTC)
sgp4-git r301.ca9d4d9-1 3 0.09 SGP4 library xiretza 2021-04-24 14:41 (UTC)
sdrangel-git 7.18.1.r28.29a8d21ba-1 15 0.09 Qt5/OpenGL SDR and signal analyzer frontend. xiretza 2024-03-03 18:32 (UTC)
riscv64-unknown-elf-picolibc 1.8.6-1 0 0.00 Fork of newlib with stdio bits from avrlibc xiretza 2024-03-03 13:35 (UTC)
rapidyaml-git 0.5.0.r14.g6a5a07f-1 2 0.00 A library to parse and emit YAML, and do it fast. xiretza 2023-05-14 13:45 (UTC)
rapidwright 2023.2.1_beta-1 0 0.00 Build Customized FPGA Implementations for Vivado xiretza 2024-03-03 14:54 (UTC)
quicklogic-timings-importer-git r75.eec0737-2 0 0.00 Importer of timing data from Quicklogic EOS-S3 to SDF xiretza 2022-05-15 07:08 (UTC)
qlf_fasm-git r44.e5d0915-1 0 0.00 FASM to/from bitstream converter for QuickLogic qlf FPGA device family xiretza 2022-05-14 18:30 (UTC)
python-xc-fasm-git r72.e12f313-1 0 0.00 Library to convert FASM files to bitstream xiretza 2021-01-21 10:14 (UTC)
python-vtr-xml-utils-git r86.d6ba1f1-2 0 0.00 Utilities for working with VtR XML Files xiretza 2021-12-28 15:49 (UTC)
python-tinyfpgab-git r80.e8f9150-1 0 0.00 Programmer for the TinyFPGA B2 boards xiretza 2021-01-21 09:49 (UTC)

92063 packages found. Page 152 of 3683.

« First ‹ Previous 1 .. 147 148 149 150 151 152 153 154 155 156 157 .. 3683 Next › Last »