Package Details: symbiyosys-git r615.c9e3b82-1

Git Clone URL: https://aur.archlinux.org/symbiyosys-git.git (read-only, click to copy)
Package Base: symbiyosys-git
Description: A front-end driver program for Yosys-based formal hardware verification flows
Upstream URL: https://github.com/YosysHQ/sby.git
Licenses: custom:ISC
Conflicts: symbiyosys
Provides: symbiyosys
Submitter: benallard
Maintainer: benallard
Last Packager: benallard
Votes: 3
Popularity: 0.000000
First Submitted: 2018-10-27 13:15 (UTC)
Last Updated: 2024-06-17 13:06 (UTC)

Dependencies (7)

Required by (4)

Sources (2)

Latest Comments

benallard commented on 2024-06-17 13:06 (UTC)

Done, thanks for the hint. I also updated the upstream repository address.

Auerhuhn commented on 2024-06-14 07:25 (UTC)

Hi benallard,

thanks for maintaining this PKGBUILD.

Would you mind adding python-click to depends? This is preventing the PKGBUILD to build in a clean chroot.

Thanks in advance!

Regards
Claudia (aka Auerhuhn)

benallard commented on 2021-12-29 18:44 (UTC)

Done, thanks for the (repeated) heads-up!

xiretza commented on 2021-12-29 11:30 (UTC)

Again, can you please add provides/conflicts=('symbiyosys')?

xiretza commented on 2020-10-19 14:10 (UTC)

Could you please add provides/conflicts=('symbiyosys')?

xiretza commented on 2019-05-05 20:10 (UTC)

Needs makedepends=('git').