Package Details: mcy-nightly 1:20240427_yosys_0.40_2_g9142c93-1

Git Clone URL: https://aur.archlinux.org/mcy-nightly.git (read-only, click to copy)
Package Base: mcy-nightly
Description: Mutation Cover with Yosys
Upstream URL: https://github.com/YosysHQ/mcy
Licenses: custom:ISC
Submitter: lethalbit
Maintainer: lethalbit
Last Packager: lethalbit
Votes: 0
Popularity: 0.000000
First Submitted: 2023-04-02 18:01 (UTC)
Last Updated: 2024-04-27 00:00 (UTC)

Required by (0)

Sources (1)