Package Details: yosys-git 0.19+20.r11686.12b0ce972-1

Git Clone URL: https://aur.archlinux.org/yosys-git.git (read-only, click to copy)
Package Base: yosys-git
Description: A framework for RTL synthesis
Upstream URL: https://yosyshq.net/yosys/
Keywords: fpga
Licenses: custom:ISC
Conflicts: yosys
Provides: yosys
Submitter: sebo
Maintainer: thasti
Last Packager: thasti
Votes: 17
Popularity: 0.000089
First Submitted: 2015-10-05 19:00 (UTC)
Last Updated: 2022-07-22 20:33 (UTC)

Dependencies (11)

Required by (12)

Sources (2)

Latest Comments

« First ‹ Previous 1 2 3 4 5 6 Next › Last »

marzoul commented on 2019-06-27 22:02 (UTC)

I freshly recompiled this package, last time was in October 2018. The package size got from 23 MB to 57 MB. I'm sensitive to this. Digging a bit I saw that there is a libyosys.so that is installed 3 times. All identical. Could you please deduplicate this ? Symlinks surely should be fine.

thasti commented on 2019-05-20 20:25 (UTC)

Would you consider enabling compilation of libyosys and pyosys (python bindings) for this AUR? I recently got some changes merged to make these features build for non-debian systems. My patch to do that can be found here:

https://gist.github.com/thasti/4f529f0a080e5ac600f5888aba4474de

I believe this would introduce an additional dependency on boost. Regards!

pdlloyd commented on 2019-02-04 08:47 (UTC) (edited on 2019-02-04 08:48 (UTC) by pdlloyd)

@Un1Gfn: I added abc to the sources (per your gist) and it looks like it's doing the trick:

Pulling ABC from https://github.com/berkeley-abc/abc:
+ test -d abc
+ cd abc
+ make DEP= clean
...

pdlloyd commented on 2019-02-04 07:48 (UTC) (edited on 2019-02-04 08:44 (UTC) by pdlloyd)

@cyrozap: xdot should now be listed as an optional dependency since yosys can build happily without it.

cyrozap commented on 2017-12-17 12:13 (UTC)

xdot should be added as a either a dependency or an optional dependency, as it's required for the "show" command to work.

grahamedgecombe commented on 2017-12-09 21:20 (UTC) (edited on 2017-12-09 21:20 (UTC) by grahamedgecombe)

The prefix and destdir need to be specified separately for commands like yosys-config --datdir to work:

diff --git a/PKGBUILD b/PKGBUILD
index [`8a04531`](https://aur.archlinux.org/cgit/aur.git/commit/?h=yosys-git&id=8a04531)..c3bdc84 100644
--- a/PKGBUILD
+++ b/PKGBUILD
@@ -20,7 +20,7 @@ sha512sums=('SKIP'
 build(){
     cd ${srcdir}/yosys
     make config-gcc
-    make
+    make PREFIX=/usr
 }

 pkgver() {
@@ -30,7 +30,7 @@ pkgver() {

 package() {
     cd ${srcdir}/yosys
-    make PREFIX=$pkgdir/usr/ install
+    make PREFIX=/usr DESTDIR="$pkgdir" install

     install -D -m 644 \
     "${srcdir}/LICENSE" \

sebo commented on 2016-09-24 06:38 (UTC)

Hi arturo182, thank you for your feedback. Actually, AUR packages assume base-devel is installed (which includes flex and bison). See "Installing Packages" in https://wiki.archlinux.org/index.php/Arch_User_Repository .

arturo182 commented on 2016-09-23 18:54 (UTC)

I get "bison: command not found" and "flex: command not found" when trying to install, probably need to add those two as dependencies :)