84 packages found. Page 1 of 2.

1 2 Next › Last »

Name Version Votes Popularity? Description Maintainer Last Updated
bfgminer 5.5.0-4 4 0.00 Bitcoin miner featuring overclocking, monitoring, fan speed control and remote management. For FPGA/GPU/CPU Bitcoin mining. orphan 2022-04-22 00:07 (UTC)
cgminer 4.11.1-10 3 0.00 Multi-threaded multi-pool GPU, FPGA and ASIC miner for bitcoin orphan 2022-03-09 09:37 (UTC)
quartus-standard 18.1.0.625-1 0 0.00 Quartus Prime Standard Edition design software for Altera FPGA's. Modular package orphan 2019-07-21 11:06 (UTC)
xtrx-xc3sprog-git r813.d97d672-1 0 0.00 Suite of utilities for programming Xilinx FPGAs, CPLDs, and EEPROMs with the Xilinx Parallel Cable and other JTAG adapters under Linux. Special branch for XTRX over USB3 adapter orphan 2019-04-24 02:30 (UTC)
intel-soc-eds 20.1.0.711-2 0 0.00 Intel SoC FPGA Embedded Design Suite (Standard Edition) Alex 2023-11-06 17:58 (UTC)
mister-menu 20230223-1 0 0.00 FPGA bitstream loaded by uboot which shows the MiSTer menu amstan 2023-07-27 23:27 (UTC)
python-apollo 1.0.3-1 0 0.00 Microcontroller-based FPGA/JTAG programmer Auerhuhn 2024-06-04 08:22 (UTC)
tang-dynasty 5.0.3_30786-1 1 0.00 Tang Dynasty IDE for Anlogic FPGAs caylin 2021-09-24 07:11 (UTC)
icestorm-git r788.83b8ef9-2 20 0.12 Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered) FabioLolix 2021-12-22 10:25 (UTC)
vitis 2023.2-2 3 0.34 FPGA/CPLD design suite for Xilinx devices Freed 2023-12-05 22:49 (UTC)
modelsim-intel-starter 20.1.1.720-1 4 0.00 ModelSim-Intel FPGA Starter Edition - last version gbs 2021-11-11 20:24 (UTC)
quartus-free-quartus 23.1.0.991-1 21 0.00 Quartus Prime Lite design software for Intel FPGAs gbs 2024-02-02 23:17 (UTC)
quartus-free-questa 23.1.0.991-1 21 0.00 Quartus Prime Lite - Questa-Intel FPGA Starter Edition gbs 2024-02-02 23:17 (UTC)
mercpcl-git r9.ce3d815-1 1 0.00 Command Line Mercury FPGA Programmer GeoffreyFrogeye 2017-10-13 06:35 (UTC)
openfpgaloader-bin 0.12.1-1 1 0.00 Universal utility for programming FPGA glatavento 2024-04-12 11:59 (UTC)
xrt 2023.1-1 0 0.00 Xilinx Run Time for FPGA, with its dkms modules gnaggnoyil 2024-01-16 12:51 (UTC)
fomu-verilog-blink 0.1-1 0 0.00 Blink test for FOMU FPGA ? GNUtoo 2022-04-27 16:06 (UTC)
xrt-bin 2020.2-1 0 0.00 Xilinx Run Time for FPGA h313 2021-11-26 01:01 (UTC)
gfpgan-model 1.3.8-1 0 0.00 Pre-trained model and interference script for python-gfpgan. huyz 2022-10-21 14:05 (UTC)
python-gfpgan 1.3.8-1 0 0.00 GFPGAN aims at developing Practical Algorithms for Real-world Face Restoration. huyz 2022-10-21 14:05 (UTC)
quartus-130 13.0.1.232-1 0 0.00 Quartus II 13.0 SP1 Subscription Edition (with old MAX CPLDs and Cyclone FPGAs) hybroid 2018-10-15 19:26 (UTC)
nextpnr-xilinx-git 2:r2994.f7938759-1 0 0.00 nextpnr portable FPGA place and route tool - for Xilinx ivanovp 2024-03-12 22:24 (UTC)
papilio-designlab 1.0.8-3 3 0.00 It is an IDE specially designed to get you up and running quickly with Soft Processors on the Papilio FPGA boards ivanovp 2021-11-23 19:48 (UTC)
papilio-loader-git 20220326-1 4 0.00 Bitstream loader for Papilio One FPGA board. ivanovp 2022-03-27 05:31 (UTC)
open-fpga-loader-git r585.3ea05cc-1 1 0.00 Universal utility for programming FPGA japm48 2021-08-05 15:27 (UTC)
python-tinyprog-git 1.0.24.dev114+g97f6353-2 0 0.00 TinyFPGA programmer japm48 2020-03-29 00:28 (UTC)
quicklogic-fpga-toolchain-bin 0.1.0-1 0 0.00 SymbiFlow variant provided as QuickLogic's vendor toolchain ktemkin 2020-06-09 03:46 (UTC)
hdl-make 3.0.r537.gf3c20ff-1 2 0.04 Hdlmake is a tool for generating multi-purpose makefiles for FPGA projects. Lacsapix 2022-04-21 09:30 (UTC)
icestorm-nightly 1:20240619_c23e99c-1 1 0.00 Lattice iCE40 FPGAs Bitstream Documentation lethalbit 2024-06-19 00:01 (UTC)
nextpnr-all-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 1 0.00 nextpnr portable FPGA place and route tool - ice40, ecp5, machxo2, nexus, and generic lethalbit 2024-06-19 00:02 (UTC)
nextpnr-ecp5-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 1 0.00 nextpnr portable FPGA place and route tool - for ecp5 lethalbit 2024-06-19 00:03 (UTC)
nextpnr-generic-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 1 0.00 nextpnr portable FPGA place and route tool - generic lethalbit 2024-06-19 00:02 (UTC)
nextpnr-ice40-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 3 0.01 nextpnr portable FPGA place and route tool - for ice40 lethalbit 2024-06-19 00:03 (UTC)
nextpnr-machxo2-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 0 0.00 nextpnr portable FPGA place and route tool - for machxo2 lethalbit 2024-06-19 00:03 (UTC)
nextpnr-nexus-nightly 1:20240619_nextpnr_0.7_43_ga29a17f8-1 1 0.00 nextpnr portable FPGA place and route tool - for nexus lethalbit 2024-06-19 00:04 (UTC)
prjoxide-nightly 1:20240619_30712ff-1 0 0.00 Documenting Lattice's 28nm FPGA parts lethalbit 2024-06-19 00:02 (UTC)
xrt-git r7478.39bc63b935-1 0 0.00 Xilinx runtime for Ultrascale, Versal and MPSoC-based FPGAs leuko 2024-06-03 17:05 (UTC)
vivado-lab-edition 2024.1-1 0 0.00 FPGA/CPLD Lab Tools for Xilinx devices m42uko 2024-06-16 07:36 (UTC)
flopoco 4.1.3-1 1 0.00 A generator of arithmetic cores (Floating-Point Cores, but not only) for FPGAs (but not only) marzoul 2021-11-23 22:44 (UTC)
kactus2-git 3.2.298.0.svn2649-1 0 0.00 Open source IP-XACT-based tool for ASIC, FPGA and embedded systems design marzoul 2016-12-03 12:41 (UTC)
riffa-git 2.2.2.git20240611-1 0 0.00 RIFFA: A Reusable Integration Framework For FPGA Accelerators marzoul 2024-06-11 14:15 (UTC)
arachne-pnr-git r187.e97e35c-1 14 0.00 Place and route tool for FPGAs mikesd 2017-01-25 22:50 (UTC)
parallella-fpga-bitstream-headless-7010 2019.1-1 0 0.00 Bitstream files needed for Parallella (Headless, Zynq 7010) mkaczanowski 2020-01-09 23:50 (UTC)
parallella-fpga-bitstream-headless-7020 2019.1-1 0 0.00 Bitstream files needed for Parallella (Headless, Zynq 7020) mkaczanowski 2020-01-09 23:50 (UTC)
ecpprog-git r28.2b3e8ea-1 0 0.00 A basic driver for FTDI based JTAG probes to program ECP5 FPGAs nobodywasishere 2020-08-11 06:30 (UTC)
openfpgaloader-git nightly.r.0.gfab58d7-1 1 0.00 Universal utility for programming FPGA nobodywasishere 2023-08-05 02:14 (UTC)
python-intel-jtag-uart 0.0.3-1 0 0.00 Package to interact with JTAG UART modules in Intel FPGA designs piernov 2023-12-12 15:43 (UTC)
nextpnr-gowin-git r4016.347ba3af-1 0 0.00 Portable FPGA place and route tool Popolon 2022-02-20 14:43 (UTC)
silice-git 3d12246b-8 0 0.00 An open source language that simplifies prototyping and writing algorithms on FPGA architectures. Popolon 2023-09-19 08:45 (UTC)
alchitry-labs-bin 1.2.7-7 0 0.00 Alchitry Labs - The Easiest Way to Program FPGAs r1cebank 2021-10-31 19:29 (UTC)

84 packages found. Page 1 of 2.

1 2 Next › Last »