neovim-nightly
|
0.10.0.r2250.g6bba4beced-1 |
0 |
0.00
|
Fork of Vim aiming to improve user experience, plugins, and GUIs |
Farzat
|
2024-02-02 02:10 (UTC) |
thunderbird-nightly-bin
|
128.0a1.20240514-1 |
31 |
0.04
|
Standalone Mail/News reader - Nightly build |
freswa
|
2024-05-14 12:01 (UTC) |
thunderbird-nightly-bin-noupdate
|
128.0a1.20240514-1 |
31 |
0.04
|
Standalone Mail/News reader - Nightly build with disabled update notifications |
freswa
|
2024-05-14 12:01 (UTC) |
lidarr-nightly
|
2.4.0.4205-1 |
3 |
0.00
|
Music download automation for usenet and torrents. |
fryfrog
|
2024-05-13 17:58 (UTC) |
prowlarr-nightly
|
1.18.0.4522-1 |
0 |
0.00
|
Usenet and torrent aggregator, similar to nzbhydra2 and jackett. |
fryfrog
|
2024-05-19 23:46 (UTC) |
radarr-nightly
|
5.7.0.8847-1 |
5 |
0.00
|
Movie download automation for usenet and torrents. |
fryfrog
|
2024-05-15 15:36 (UTC) |
readarr-nightly
|
0.3.28.2546-1 |
1 |
0.00
|
Audio and eBook download automation for usenet and torrents. |
fryfrog
|
2024-05-19 23:46 (UTC) |
whisparr-nightly
|
2.0.0.548-1 |
0 |
0.00
|
Porn download automation for usenet and torrents. |
fryfrog
|
2024-04-09 21:43 (UTC) |
julia-nightly-bin
|
20200701-1 |
0 |
0.00
|
High-level, high-performance, dynamic programming language - official nightly binaries |
fusion809
|
2020-12-09 13:29 (UTC) |
fig-nightly-bin
|
2.20.0_nightly.20240521-1 |
1 |
0.00
|
Adds IDE-style autocomplete to your existing terminal. |
ggrant
|
2024-05-21 11:50 (UTC) |
poi-nightly-bin
|
11.0.0.beta.5.8461-2 |
0 |
0.00
|
Scalable KanColle browser and tool |
Gigas002
|
2024-01-17 12:18 (UTC) |
brave-nightly-bin
|
1.68.31-1 |
25 |
0.06
|
Web browser that blocks ads and trackers by default (nightly binary release). |
gregbunk
|
2024-05-20 19:38 (UTC) |
foo2zjs-minimal-nightly
|
20210313-1 |
2 |
0.00
|
Archived version of foo2zjs printer drivers with the bare minimum compilation options to ensure package stability. |
hamzadis
|
2021-05-05 12:08 (UTC) |
hibiscus-nightly
|
2.11.0-3 |
9 |
0.00
|
Hibiscus HBCI banking plugin for Jameica (nightly) |
Harvey
|
2021-05-30 14:50 (UTC) |
jameica-nightly
|
2.11.0-3 |
11 |
0.00
|
Free Java application platform |
Harvey
|
2021-05-30 14:52 (UTC) |
josm-latest
|
19084-1 |
4 |
0.77
|
Nightly builds of JOSM, the editor for OpenStreetMap written in Java |
hauke96
|
2024-05-17 04:00 (UTC) |
firefox-nightly
|
127.0a1+20240430.1+h650dda918743-1 |
609 |
0.66
|
Development version of the popular Firefox web browser |
heftig
|
2024-04-30 09:10 (UTC) |
s25rttr-nightly-bin
|
20210113-1 |
1 |
0.00
|
Settlers II remake (Needs a copy of the original game!) |
heinrich5991
|
2021-01-14 15:13 (UTC) |
odoo-nightly
|
17.0-14 |
2 |
1.73
|
Odoo. Open Source Apps To Grow Your Business. |
HLFH
|
2024-05-14 07:43 (UTC) |
hakuneko-desktop-nightly
|
8.3.4-1 |
1 |
0.00
|
Manga & Anime Downloader for Linux, Windows & MacOS |
hockeymikey
|
2023-03-10 20:35 (UTC) |
3dslicer-nightly-bin
|
20240512.5.6.2-1 |
3 |
0.49
|
A free, open source and multi-platform software package widely used for medical, biomedical, and related imaging research (nightly build) |
hottea
|
2024-05-12 14:43 (UTC) |
itk-snap-nightly-bin
|
3.8.0.r5.f4a3d448-1 |
0 |
0.00
|
A software application used to segment structures in 3D medical images (nightly build) |
hottea
|
2020-04-07 18:32 (UTC) |
rust-nightly
|
1.41.0_2019.11.18-1 |
10 |
0.00
|
A safe, concurrent, practical language |
intelfx
|
2019-11-19 09:41 (UTC) |
rust-nightly-doc
|
1.41.0_2019.11.18-1 |
10 |
0.00
|
A safe, concurrent, practical language from Mozilla. (Language and API documentation) |
intelfx
|
2019-11-19 09:41 (UTC) |
clyde-server-git
|
r24.77de0a8-1 |
0 |
0.00
|
Download pacman packages nightly for cpcache |
joaquinito2051
|
2018-05-10 15:25 (UTC) |
jitsi-nightly
|
2.11.20210926-2 |
9 |
0.00
|
Audio/video SIP VoIP phone and instant messenger (formerly SIP-Communicator) |
jose1711
|
2021-09-25 22:21 (UTC) |
flast-gecko-nightly
|
86.0a1.202012232204-1 |
0 |
0.00
|
Standalone Web Browser from FascodeNetwork — Nightly build (ja) |
kokkiemouse
|
2020-12-23 13:40 (UTC) |
eqy-nightly
|
1:20240521_yosys_0.41_3_g702af89-1 |
0 |
0.00
|
Equivalence Checking with Yosys |
lethalbit
|
2024-05-21 00:00 (UTC) |
icestorm-nightly
|
1:20240521_c23e99c-1 |
1 |
0.00
|
Lattice iCE40 FPGAs Bitstream Documentation |
lethalbit
|
2024-05-21 00:00 (UTC) |
mcy-nightly
|
1:20240521_yosys_0.41_2_gb01592a-1 |
0 |
0.00
|
Mutation Cover with Yosys |
lethalbit
|
2024-05-21 00:00 (UTC) |
mistral-nightly
|
1:20240411_d509238-1 |
0 |
0.00
|
Cyclone V bitstream reverse-engineering project |
lethalbit
|
2024-04-11 00:21 (UTC) |
nextpnr-all-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
1 |
0.01
|
nextpnr portable FPGA place and route tool - ice40, ecp5, machxo2, nexus, and generic |
lethalbit
|
2024-05-21 00:01 (UTC) |
nextpnr-ecp5-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
1 |
0.00
|
nextpnr portable FPGA place and route tool - for ecp5 |
lethalbit
|
2024-05-21 00:01 (UTC) |
nextpnr-generic-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
1 |
0.00
|
nextpnr portable FPGA place and route tool - generic |
lethalbit
|
2024-05-21 00:01 (UTC) |
nextpnr-ice40-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
3 |
0.01
|
nextpnr portable FPGA place and route tool - for ice40 |
lethalbit
|
2024-05-21 00:01 (UTC) |
nextpnr-machxo2-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
0 |
0.00
|
nextpnr portable FPGA place and route tool - for machxo2 |
lethalbit
|
2024-05-21 00:01 (UTC) |
nextpnr-nexus-nightly
|
1:20240521_nextpnr_0.7_39_gb7f91e57-1 |
1 |
0.00
|
nextpnr portable FPGA place and route tool - for nexus |
lethalbit
|
2024-05-21 00:01 (UTC) |
prjoxide-nightly
|
1:20240521_30712ff-1 |
0 |
0.00
|
Documenting Lattice's 28nm FPGA parts |
lethalbit
|
2024-05-21 00:01 (UTC) |
prjtrellis-nightly
|
1:20240521_1.4_66_g2dab009-1 |
0 |
0.00
|
Documenting the Lattice ECP5 bit-stream format. |
lethalbit
|
2024-05-21 00:01 (UTC) |
sby-nightly
|
1:20240521_yosys_0.41_2_g641d5d5-1 |
0 |
0.00
|
Front-end for Yosys-based formal verification flows |
lethalbit
|
2024-05-21 00:00 (UTC) |
symbiyosys-nightly
|
1:5d19e46_20211125-1 |
0 |
0.00
|
Front-end for Yosys-based formal verification flows |
lethalbit
|
2021-11-25 00:00 (UTC) |
yosys-nightly
|
1:20240521_yosys_0.41_84_g7045cf509-1 |
1 |
0.00
|
Yosys Open SYnthesis Suite, A framework for RTL synthesis |
lethalbit
|
2024-05-21 00:00 (UTC) |
tidb-bin-nightly
|
0.0.1.20170524020718-1 |
0 |
0.00
|
A distributed NewSQL database compatible with MySQL protocol |
Librazy
|
2017-05-25 08:52 (UTC) |
red-nightly-bin
|
r4777.05apr24.9516a4b66-1 |
0 |
0.00
|
Red is a next-generation programming language strongly inspired by Rebol |
loziniak
|
2024-04-05 22:46 (UTC) |
veloren-nightly
|
0.13.0.r37.525866816-1 |
2 |
0.87
|
An open-world, open-source multiplayer voxel RPG. Version matches official server. |
LuckyTurtleDev
|
2022-08-08 08:09 (UTC) |
hamsket-nightly-bin
|
0.6.5-1 |
0 |
0.00
|
Free, Open Source and Cross Platform messaging and emailing app that combines common web applications into one. |
M4rQu1Nh0S
|
2022-11-23 14:03 (UTC) |
v2raya-git
|
20230204.r1293.d626ba1-1 |
4 |
0.05
|
v2rayA nightly version |
mzz2017
|
2023-12-05 13:49 (UTC) |
komodo-ide-nightly
|
11.0.1_90763-1 |
1 |
0.00
|
Code smarter and faster with the leading IDE for today's major web languages, including Python, PHP, Node.js, Perl, Go and Ruby. - XUL based |
Naatan
|
2017-10-12 16:32 (UTC) |
raidgrep-git
|
1.1.0.r7.g013776c-1 |
0 |
0.00
|
Guild Wars 2/arcdps evtc log searching tool. Built[sic] from master branch using the rust nightly toolchain. |
networkjanitor
|
2020-05-17 02:12 (UTC) |
rustfmt-nightly-git
|
0.99.6.4082-1 |
1 |
0.00
|
Format Rust code |
NieDzejkob
|
2018-10-20 23:33 (UTC) |